TI DSP TMS320C665x 开发板简介

TI DSP TMS320C665x 开发板简介
TI DSP TMS320C665x 开发板简介

1开发板简介

基于TI KeyStone C66x多核定点/浮点DSP TMS320C665x,单核TMS320C6655和双核TMS320C6657管脚pin to pin兼容,同等频率下具有四倍于C64x+器件的乘累加能力; 主频1.0/1.25GHz,单核可高达40GMACS和20GFLOPS,包含2个Viterbi协处理器和1个Turbo协处理解码器,每核心32KByte L1P、32KByte L1D、1MByte L2,1MByte多核共享内存,8192个多用途硬件队列,支持DMA传输;

可免装风扇,以最低的功率级别和成本提供最高的性能;

支持PCIe、SRIO、HyperLink、uPP、EMIF16、千兆网口等多种高速接口,同时支持I2C、SPI、UART、McBSP等常见接口;

连接稳定可靠,80mm*58mm,体积极小的C66x核心板,采用工业级高速B2B连接器,关键大数据接口使用高速连接器,保证信号完整性;

提供丰富的开发例程,入门简单,支持裸机和SYS/BIOS操作系统。

图1 开发板正面图

图2 开发板侧面图1

图3 开发板侧面图2

图4 开发板侧面图3

图5 开发板侧面图4

TL665x-EasyEVM是一款基于广州创龙TI KeyStone C66x多核定点/浮点TMS320C665x 核心板SOM-TL665x设计的高端DSP开发板,底板采用沉金无铅工艺的4层板设计,它为用户提供了SOM-TL665x核心板的测试平台,用于快速评估SOM-TL665x核心板的整体性能。

SOM-TL665x引出CPU全部资源信号引脚,二次开发极其容易,客户只需要专注上层运用,降低了开发难度和时间成本,让产品快速上市,及时抢占市场先机。

不仅提供丰富的Demo程序,还提供DSP核间通信开发教程,全面的技术支持,协助客户进行底板设计和调试以及多核软件开发。

2典型运用领域

?数据采集处理显示系统Telecom Tower:远端射频单元(RRU)

?X 射线:行李扫描仪

?专业音频混合器

?军用和航空电子成像

?军用:军需品和目标应用

?军用:雷达/声纳

?军用:雷达/电子战

?打印机

?无线通信测试仪

?机器视觉:帧捕捉器

?机器视觉:摄像机

?条码扫描仪

?点钞机

?电信基带单元

?视频分析服务器

?软件无线电(SDR)

?高速数据采集和生成

3软硬件参数

硬件框图

图6 开发板硬件框图

图7 开发板硬件资源图解1

图8 开发板硬件资源图解2

硬件参数

表1

CPU单核TMS320C6655/双核TMS320C6657,主频1.0/1.25GHz

ROM128/256MByte NAND FLASH

RAM512M/1GByte DDR3

EEPROM1Mbit

SENSOR 1x TMP102AIDRLT,核心板温度传感器,I2C接口

B2B 2x 50pin公座B2B,2x 50pin母座B2B,间距0.8mm,合高5.0mm;

Connector1x 80pin高速B2B连接器,间距0.5mm,合高5.0mm,共280pin,信号速率最高可达10GBaud

LED

2x供电指示灯(核心板1个,底板1个)

5x用户指示灯(核心板2个,底板3个)

KEY 2x复位按键,包含1个系统复位和1个软复位1x NMI按键

1x用户按键

SRIO1x SRIO,四端口四通道,每通道最高通信速率5GBaud

PCIe1x PCIe Gen2,单端口双通道,每通道最高通信速率5GBaud

HyperLink1x HyperLink,最高通信速率40GBaud,KeyStone处理器间互连的理想接口IO

2x 25pin IDC3简易牛角座,间距2.54mm,含uPP、EMIF16拓展信号

2x 25pin IDC3简易牛角座,间距2.54mm,含McBSP、SPI、TIMER、GPIO等拓展信号UART

1x UART0,Micro USB接口,提供4针TTL电平测试端口

1x UART1,DB9接口,提供6针TTL电平测试端口

Ethernet 1x SGMII,RJ45接口,10/100/1000M自适应

JTAG 1x 14pin TI Rev B JTAG接口,间距2.54mm

FAN 1x FAN,12V供电,间距2.54mm

BOOT SET 1x 5bit拨码开关

SWITCH 1x电源开关

POWER 1x 12V 2A直流输入DC417电源接口,外径4.4mm,内径1.65mm

备注:广州创龙SOM-TL6655、SOM-TL6657核心板在硬件上pin to pin兼容。

软件参数

表2

DSP端软件支持裸机、SYS/BIOS操作系统

CCS版本号CCS5.5

软件开发套件提供MCSDK

4开发资料

(1)提供核心板引脚定义、可编辑底板原理图、可编辑底板PCB、芯片datasheet,缩短硬件设计周期;

(2)提供丰富的Demo程序,包含DSP多核通信教程,完美解决多核开发瓶颈;(3)提供完整的平台开发包、入门教程,节省软件整理时间,上手容易;

部分开发例程详见附录A,开发例程主要包括:

裸机开发例程

SYS/BIOS开发例程

多核开发例程

5电气特性

核心板工作环境

表3

环境参数最小值典型值最大值

商业级温度0°C / 70°C

工业级温度-40°C/ 85°C

工作电压5V 9V 16V

功耗测试

表4

类别典型值电压典型值电流典型值功耗

核心板8.95V418.8mA 3.75W

整板12V 597.4mA 7.15W

备注:功耗测试基于广州创龙TL6657-EasyEVM开发板进行。

6机械尺寸图

表5

核心板开发板PCB尺寸80mm*58mm 200mm*106.65mm 安装孔数量4个8个

散热器安装孔数量2个/

图9 核心板机械尺寸图

图10 开发板机械尺寸图

7产品订购型号

表6

型号CPU主频NAND FLASH DDR3温度级别SOM-TL6655-1000-1GN4GD-I 单核1.0GHz 128MByte 512MByte 工业级SOM-TL6655-1000-1GN8GD-I 单核1.0GHz 128MByte 1GByte 工业级SOM-TL6657-1000-1GN4GD-I 双核1.0GHz 128MByte 512MByte 工业级SOM-TL6657-1000-1GN8GD-I 双核1.0GHz 128MByte 1GByte 工业级备注:标配为SOM-TL6655-1000-1GN4GD-I,其他型号请与相关销售人员联系。

型号参数解释

图11

8开发板套件清单

表7

名称数量

TL665x-EasyEVM开发板(含核心板)1块

12V 2A电源适配器1个

资料光盘1套

RS232交叉串口母母线1根

USB转RS232串口线1根

Micro USB线1根

网线1根

HDMI线1根

9技术支持

(1)协助底板设计和测试,减少硬件设计失误;

(2)协助解决按照用户手册操作出现的异常问题;

(3)协助产品故障判定;

(4)协助正确编译与运行所提供的源代码;

(5)协助进行产品二次开发;

(6)提供长期的售后服务。

10增值服务

●主板定制设计

●核心板定制设计

●嵌入式软件开发

●项目合作开发

●技术培训

附录A 开发例程

表8

算法开发例程

例程功能

FFT_Real 快速傅里叶变换/逆变换

FFT_Real_Benchmark 快速傅里叶变换/逆变换(打开/关闭缓存速度对比)FIR 有限长单位冲激响应滤波器

IIR 无限脉冲响应数字滤波器

RGB2Gray RGB24图像转灰度

HIST 灰度图像直方图

InteEqualize 直方图均衡化

ImageReverse 图像反色

Canny 边缘检测

Threshold 灰度图像二值化

LinerTrans 灰度图像线性变换

Zoom 图像缩放

Rotate 图像旋转

MATH 数学函数库

Matrix 矩阵运算

Codec_Universal_Dma_Ti_ECPY 调用EDMA3数据搬移算法

FC_ECPY 数据搬移的应用程序

Codec_MPEG4Encoder MPEG4视频编码

Codec_MPEG4Decoder MPEG4视频解码

Algorithm_LSB_Hide 图片添加水印

Algorithm_Plate_Recognition 车牌识别

表9

SYS/BIOS开发例程

例程功能Task 任务

CLOCK 时钟

Task_MUTEX 抢占式多任务

Task_STATIC 静态创建任务

Timer 定时器(通用)

Timer_C665x 定时器(专用)

Timer_C665x_Runtime 定时器(动态创建)MEMORY 内存分配

HWI_C66x 硬件中断(HWI设备专用组件)HWI_C66x_Hook 硬件中断(HWI挂钩函数)HWI_C66x_Nest 硬件中断(HWI中断嵌套)HWI_Runtime 硬件中断(HWI)

HWI_Runtime_Post_SWI 硬件中断(HWI发布软件中断)HWI_Runtime_Post_Task 硬件中断(HWI触发任务)SWI 软件中断(静态配置)

SWI_Runtime 软件中断(SWI)

SWI_Runtime_Post_Conditionally_andn 软件中断(有条件触发ANDN)SWI_Runtime_Post_Conditionally_dec 软件中断(有条件触发DEC)SWI_Runtime_Post_Unconditionally_or 软件中断(无条件触发OR)Timestamp 时间戳(通用)

Timestamp_C665x 时间戳(专用)

EDMA3 EDMA3一维数据传输

UART1_INT UART1串口中断收发

McBSP0_LoopBack_Test McBSP0外部回环测试

uPP_8Bit_B_TO_A uPP双通道8位外部线路回路读写

uPP_16Bit_B_TO_A uPP双通道16位外部线路回路读写

PCIe PCIe板间通信

SRIO SRIO板间通信

NDK_TCP TCP服务器

NDK_UDP UDP通信

NDK_TCP_Benchmark TCP发送/接收速度测试

NDK_Telnet Telnet协议

NDK_Runtime 网络Web服务器(支持串口输入IP)

NDK_WebServer 网络Web服务器

NDK_TCP_Client TCP客户端

NDK_RawSocket 以太网数据链路层通信

NDK_UIA 于网络传输的系统分析

DSP_FPGA_CL_SRIO CameraLink工业相机高性能实时采集处理系统Board_C665x 开发板全基本功能测试

表10

裸机开发例程

例程功能

GPIO_LED GPIO输出(LED灯)

GPIO_KEY GPIO输入(按键中断)

UART0_POLL UART1串口查询收发

NMI NMI不可屏蔽中断

Timer 定时器2

WatchDog 看门狗(定时器)

SPI_FLASH SPI FLASH读写

IIC_EEPROM IICEEPROM读写

EDMA3 EDMA3一维数据传输

UART1_INT UART1串口中断收发

I2C_TempSensor IIC总线温度传感器测试

I2C_TempSensor_POLL IIC总线温度传感器测试NANDFLASH NANDFLASH读写测试

GPIO_LED_Assembly GPIO输出(标准汇编)

GPIO_LED_LinearAssembly GPIO输出(线性汇编)

NonOS_MPAX 访问相同的逻辑地址

表11

基于Platform平台库(均可不使用操作系统,但是库函数支持在SYS/BIOS下使用)例程功能

EMIF_NandFlash EMIFA总线NAND FLASH测试

Fan 风扇控制

GPIO_KEY 按键状态查询

GPIO_LED LED灯控制

LED灯控制IIC总线EEPROM读写

IIC_TemperatureSensor IIC总线温度传感器测试

Memory_DDR3 DDR3内存读写测试

SPI_Nor_Flash API总线NOR FLASH测试

UART 串口查询收发

Timer 定时器

表12

基于STK的Demo例程(裸机)

例程功能

STK_EMIF NAND FLASH测试

STK_GE 网络测试

STK_KEY 按键测试

STK_LED GPIO输出测试

STK_I2C 内部回环/EEPROM/TMP102温度传感器测试STK_Memory_Test 系统所有内存测试

STK_Memory_Performance 内存性能测试

STK_SPI SPI内部回环/EDMA回环/NOR FLASH测试STK_UART 串口0回环/EDMA/PC机通信测试

STK_Timer 定时器测试

STK_Robust 核心稳健性测试

STK_SRIO SRIO外部线路回环测试

STK_SRIO_FPGA SRIO通过DMA方式收发测试

STK_Multicore_Navigator 多核导航器测试

STK_HyperLink HyperLink内部回环测试

STK_PCIe PCIe PHY回环测试

表13

其它Demo例程演示

例程功能

GPIO_LED_Assembly GPIO输出(标准汇编)

GPIO_LED_LinearAssembly GPIO输出(线性汇编)

表14

多核开发例程

例程功能

MultiCore_SingleImage 多核单镜像通信测试

MultiCore_DualImage 多核多镜像通信测试

MultiCore_SingleImage_SYSBIOS 多核单镜像SYSBIOS通信测试

MultiCore_DualImage_ SYSBIOS 多核多镜像SYSBIOS通信测试

OpenMP_Hello OpenMP的测试

OpenMP_Hello_SYSBIOS 基于SYBIOS的OpenMP测试OpenMP_Matrix-Vector_Multiplication 基于OpenMP的矩阵-向量乘法OpenMP_RGB2Gray 基于OpenMP的RGB24图像转灰度MultiCore_IPC_MessageQ MessageQ模块通讯测试MultiCore_IPC_Notify Notifyn模块通信测试

MultiCore_IPC_SharedRegion SharedRegion模块通信测试MultiCore_IPC_Image_Canny 边缘检测

表15

基于广州创龙编写的RTSC组件的例程

例程功能RTSC_Fan 对散热风扇转速进行控制

RTSC_FFT_Real 快速傅里叶变换/逆变换

RTSC_UART0_POLL UART0串口查询收发

RTSC_UART1_POLL UART1串口查询收发

RTSC_I2C_TempSensor IIC总线温度传感器测试

RTSC_SysMin SysMin组件的输出调试演示RTSC_SysStd SysStd组件的输出调试演示RTSC_LoggerBuf 日志输出到缓冲区的演示例程RTSC_LoggerStd 实时输出日志信息的演示例程RTSC_Benchmark 测量代码性能方法

RTSC_UART0_INTRRUPT UART0串口中断收发

RTSC_LED LED测试

RTSC_KEY 按键测试

FPGA开发板

FPGA开发板 FPGA开发板ALTERA FPGA是世界上十几家生产CPLD/FPGA的公司中最大的可编程逻辑器件供应商之一,生产的FPGA产品有:FLEX6000/8000/10K、APEX20K、ACEX1K、APEXⅡ、Mercury、Excalibur、Cyclone、Stratix、CycloneⅡ和StratixⅡ等系列。 Altera的FPGA器件采用钢铝布线的先进CMOS技术,具有非常低的功耗和相当高的速度,而且采用连续式互连结构,提供快速的、连续的信号延时。Altera器件密度从300门到400万门,能很容易地集成现有的各种逻辑器件,高集成度的FPGA提供更高的系统性能,更高的可靠性,更高的性能价格比。 Altera Cyclone系列FPGA是Altera公司2003年9月份推出的,基于1.5V,0.13μm 工艺,Cyclone 是一个性价比很高的FPGA系列。其中EP1C3T144是Cyclone系列中的一员,共有2910逻辑单元,59904RAM bits,1个PLLs,最多有104个用户I/O,可以说这款FPGA的资源非常丰富,足够满足大型设计的需要。 本公司因此特推出Cyclone EP1C3T144C8 FPGA开发板,该开发板功能强大,接口丰富,可做大型综合实验。 FPGA开发板硬件资源主要有:

1、Altera EP1C3T144C8 FPGA芯片 2、Altera 串行配置芯片EPCS1 3、DAC0832芯片 4、ADC0809芯片 5、液晶接口 6、TP3057PCM编解码芯片 7、八位高亮数码管 8、八位拨码开关 9、4×4阵列键盘 10、4个复位轻触按键 11、9个高亮发光二极管(红、绿、黄色各三灯) 12、双刀双掷继电器 13、异步通信串口(UART) 14、双有源晶振(24MHz和32.768MHz) 15、5V,3.3V,1.5V电源管理系统 FPGA开发板带以下DEMO程序: 1、FPGA实现流水灯实验 2、FPGA实现电子钟实验 3、FPGA实现串口发送实验 4、FPGA实现串口接收、点阵显示实验 5、FPGA实现矩阵键盘和点阵显示(实时扫描方式) 6、FPGA实现矩阵键盘和数码管显示(延时扫描方式) 7、FPGA实现AD转换、数码显示和拨码选择通道实验 8、FPGA实现DA转换,产生锯齿、三角、正弦波;拨码开关选择波形

ARM+FPGA开发板规格书

2014

Revision History

阅前须知 版权声明 本手册版权归属广州创龙电子科技有限公司所有,非经书面同意,任何单位及个人不得擅自摘录本手册部分或全部,违者我们将追究其法律责任。本文档一切解释权归广州创龙电子科技有限公司所有。 ?2014-2018Guangzhou TronlongElectronicTechnologyCo.,Ltd. All rights reserved. 公司简介 广州创龙电子科技有限公司(简称“广州创龙” ,英文简称"Tronlong"),是杰出的 嵌入式方案商,专业提供嵌入式开发平台工具及嵌入式软硬件定制设计及技术支持等服务,专注于DSP+ARM+FPGA 三核系统方案开发,和国内诸多著名企业、研究所和高校有密切的技术合作,如富士康、威胜集团、中国科学院、清华大学等国内龙头企业和院校。 TI 嵌入式处理业务拓展经理ZhengXiaolong 指出:“Tronlong 是国内研究OMAP-L138 最深入的企业之一,Tronlong 推出OMAP-L138+Spartan-6三核数据采集处理显示解决方案,我们深感振奋,它将加速客户新产品的上市进程,带来更高的投资回报率,使得新老客户大大受益。” 经过近几年的发展,创龙产品已占据相关市场主导地位,特别是在电力、通信、工控、 音视频处理等数据采集处理行业广泛应用。创龙致力于让客户的产品快速上市、缩短开发周期、降低研发成本。选择创龙,您将得到强大的技术支持和完美的服务体验。 产品保修 广州创龙所有产品保修期为一年,保修期内由于产品质量原因引起的,经鉴定系非 人为因素造成的产品损坏问题,由广州创龙免费维修或者更换。 更多帮助

基于DE2-115开发板的FPGA入门设计实验

基于DE2-115开发板的FPGA入门设计实验 1、Lab1: 4位加法器、减法器的设计 1.1 摘要 在文件add_sub里面的工程文件operation_4.v为顶层文件,该顶层文件包含了三个子模块,分别为数码管显示模块,4位带进位的二进制加法器模块和4位带借位的二进制减法器模块,最后通过DE2-115开发板显示实验结果。 1.2 程序 1)add_4bits.v 加法器 module adder_4bits ( input clk, input rst_n, input [3:0] x, input [3:0] y, output reg [3:0] sum, output reg carry_out //溢出位 ); always@(posedge clk or negedge rst_n) begin if(!rst_n)

{carry_out, sum} <= 0; else {carry_out, sum} = x + y; end endmodule 2)substractor_4bits.v减法器module subtractor_4bits ( input clk, input rst_n, input [3:0] x, input [3:0] y, output r eg [3:0] sub, output r eg borrow_out ); always@(posedge clk or negedge rst_n) begin if(!rst_n) {borrow_out, sub} <= 0; else begin

if(x >= y) {borrow_out, sub} = {1'b0, x - y}; else {borrow_out, sub} = {1'b1, x - y}; end end endmodule 3)seg7_lut.v 数码管显示译码模块 module Seg7_lut ( input [3:0] iDIG, output r eg [6:0] oSEG ); always @(iDIG) begin case(iDIG) 4'h1: oSEG = 7'b1111001; // ---t---- 4'h2: oSEG = 7'b0100100; // | | 4'h3: oSEG = 7'b0110000; // lt rt 4'h4: oSEG = 7'b0011001; // | | 4'h5: oSEG = 7'b0010010; // ---m---- 4'h6: oSEG = 7'b0000010; // | |

FPGA开发板EP1C12用户手册(一版)

使用手册

目 录 第一章综述 (1) EP1C12核心板资源介绍 (1) FPGA开发板资源介绍 (2) 第二章 系统模块功能介绍 (5) EP1C12核心板模块说明 EP1C12F324C8芯片说明 (7) NOR FLASH模块说明 (8) SRAM模块说明 (9) FPGA接口I/O说明 (10) 调试接口JTAG、AS说明 (11) 其它功能模块 (12) EP1C12核心板使用注意事项 (15) FPGA开发平台模块说明 液晶显示模块 (17) RTC实时时钟模块 (19) USB接口模块 (19) 音频CODEC接口模块 (20) EEPROM存储模块 (21) 数字温度传感器模块 (22) 其它功能模块 (23) FPGA开发平台使用注意事项 (24) 附表一 核心板载资源与FPGAEP1C12I/O接口对照表 (25) 附表二 EP1C12与开发板硬件资源I/O接口对照表 (30)

第一章综述 FPGA开发来台是根据现代电子发展的方向,集EDA和SOPC系统开发为一体的综合性实验开发系统,除了满足高校专、本科生和研究生的SOPC教学实验开发之外,也是电子设计和电子项目开发的理想工具。整个开发系统由核心板EP1C12、SOPC开发平台和扩展板构成,根据用户不同的需求配置成不同的开发系统。 EP1C12核心板 EP1C12核心板为基于Altera Cyclone器件的嵌入式系统开发提供了一个很好的硬件平台,它可以为开发人员提供以下资源: 1主芯片采用Altera Cyclone器件EP1C12F324C8 2EPCS4I8配置芯片 34个用户自定义按键 44个用户自定义LED 51个七段码LED 6标准AS编程接口和JTAG调试接口 750MHz高精度时钟源 8三个高密度扩展接口 9系统上电复位电路 10支持+5V直接输入,板上电源管理模块 系统主芯片采用324引脚、BGA封装的E1C12 FPGA,它拥有12060个LE,52个M4K 片上RAM(共计239616bits),2个高性能PLL以及多达249个用户自定义IO。同时,系统还可以根据用户不同的设计需求来更换其它不同系列的核心板,如: EP1C6、EP2C20、EP3C25等。所以,不管从性能上而言,还是从系统灵活性上而言,无论您是初学者,还是资深硬件工程师,它都会成为您的好帮手。

Altium公司的FPGA开发板的原理图

1 12 23 34 45 56 67 78 8 D D C C B B A A 1 02 1/02/20101:34:44 PM NB3000_Top.SchDoc Project Title Size: Date:File:Revision:Sheet of Time:A2Sheet Title NB3000 Top Level Assy:81 NB3000AL - Altera D-820-0053 Altium Limited 3 Minna Close Belrose NSW 2085Australia PSU PSU.SchDoc SRAM SRA M1 SRAM_256Kx 16_TSOP44 STATUS_LED U SE R _STATUS_L E DS DB_LEDS_0603 SRAM1 SRAM2 MEM_COMMON DAU_RESET_SW BUZZER ONE_WIRE_DB_PB SW DIP USERIO EXT_A RS232 KEYBOARD MOUSE TFT_IO DB_PROGRAM STATUS_LED USER_LEDS RELAY I2C CODEC VGA ETH DBSD DBUSB PWM SPDIF DAC ADC RS485 MIDI DB_JTAG DB_CLOCKS DB_SPI ISP176X PROTOTYPE SPAREIO TFT_TSC FPGA _USE R FPGA.SCHDOC INT EXT V IDE O_OU T VGA_OUT.SCHDOC CON CON_VGA CON_VGA_DB15 HOST_JTAG LED1LED2 1WID DB_PROGRAM CLK_PLL FLASH_BOOT HOSTUSB SRAM RTC SD HOST_AUDIO DB_JTAG DB_CLOCKS FLASH_USER DB_SPI PB_A EXTSPI FLASH_GOLDEN DIAGCOMMS FPGA _HOST HOST_FPGA.SchDoc HOST_JTAG HOST_JTA G HOST_JTAG.SchDoc INT EXT R S232 RS232_HIN232 EXT INT KE Y BOA RD PC_PS2 RS232# KBD#MSE# RS232 KEYBOARD EXT INT MOUSE PC_PS2 DIPSW DB_RESET CON CON_DBU SB CON_MINI_USBB_RA_KME04-USBMU03A01-1 DBUSB DBUSB# CON CON_DBSD CON_SD_KSDC012551 DBSD EXT INT DBU SB_TX RX USB_CY7C68001-56LFC.SchDoc INT EXT E TH Ethernet_RTL8201CL.SchDoc ETH CLK_PLL CL K_PL L CLK_ICS307-02_PLL 1WID NB_ID 1WB_DS2502_ID CON CON_HOST_USB CON_MINI_USBB_RA_KME04-USBMU03A01-1 TFT_TSC TFT_TOUCH L CDTFT TSC_XPT2046.SchDoc TFT_TSC TFT_IO CON CON_MOU SE CON_PS2PORT_MINIDIN6F_BLACK INT CON PDA _SW ITCHE S SW_PB_SPNOx5_SMD INT TE ST_RE SE T SW_RESET_SPNO CON USERPOWER CON_IO CON_USER_20WBOXHDRRAMx 2 UIO BUZZER CODEC_AUD AUDIO SPK_L SPK_R HOST_AUDIO AIN AOUT_PBA A UDIO_A MP AUDIO_AMP_NB2C PB_AIN AUDIO SPK_L USER_LEDS CON U SE R _L E D LED_RGB_SMDx 8.SCHDOC USER_LEDS VGA# VGA SW SRAM SRA M2 SRAM_256Kx 16_TSOP44 RELAYS CON R E L AY RELAY_X4_IM03GR RELAY PWM CON PW M PWM_5.8A_30V_X4 PWM 1V21V82V53V35V0 PBPOW E R 1V21V82V53V35V0 I2C DIGITAL CODEC_AUD AIN A UDIO_CODE C Audio_Codec.SchDoc CODEC SPK_L SPK_R CON_SPE AKE R S CON_EXT_SPK SPK_R PB_AOUT PBIO LED1LED2 LED1_EXT LED2_EXT L E D_HOST LED_RGB_SMDx 2 SPDIF CON_SPDIF CON_SPDIF_INOUT_A SPDIF SPI CON DAC DAC_DAC084S085_SPI SPI CON A DC ADC_ADC084S021_SPI DAC ADC CON CON_E TH CON_ETHERNET_RJ45_LEDS ETH# TFT_IO TFT_TOUCH CON_L CDTFT CON_FFC40_LCDTFT.SCHDOC CON CON_RE L AY CON_RELAYx 4_KMRJIO3_5MM_12WAY CON CON_RS232 CON_RS232DCE_DB9_TH BOOT_FLASH MOUNTS Mounts.SchDoc INT EXT R S485 RS485_ISL8491 CON_PSU PWJACK+SWITCH.SchDoc HOST_USB HOSTUSB# EXT INT HOST_USB_TXR X USB_CY7C68001-56LFC.SchDoc VBATT CON_BA TT CON_BATT_COIN VBATT VBATT RTC R T CL OCK CLK_PCF2123_RTC HOST_RTC USERPOWER U SE R _POW E R USERPWR.SCHDOC CON CON_HOST_SD CON_SD_KSDC012551 RS485#CON CON_RS485 CON_RS485_RJ45 INT EXT MIDI INTE RFA CE MIDI_FULL CON CON_MIDI CON_MIDI_DIN5 MIDI# MIDI RS485HOST_AUDIO PBCTRL DB_PROGRAM HOST_JTAG HOST_ID HOST_CLK HOST_SRAM HOST_LED1 HOST_LED2 HOST_SD DB_SRAM1 DB_SRAM2 DB_MEM DB_STATUS ADC# RELAYS# PWM# DAC# UIO_PWR DB_JTAG DB_CLOCKS PB_SPI USER_FLASH DB_SPI EXT ONE_WIRE_DB_PB AIN I2C SPI AOUT CTRL PBPOWER CON_PE R IPHE R AL _BRD PBCON USER_LEDS SW_PDA SPARE_IO CON_L E DKBD CON_NB3000_LEDKB SERFLASH SYSBOOT FLASH_M25PX0_SPI_8Mbit GOLD_FLASH SERFLASH GOL DE N FLASH_M25PX0_SPI_8Mbit FLASH U SE R _FL A SH FLASHSPI_M25PX0 INT SW _DIP8_SM T SW_DIP8_SMT CON CON_KE YBOAR D CON_PS2PORT_MINIDIN6F_BLACK CON CON_USB1 CON_USBA_RA_UPRIGHT LEDS# ATE DIAGCOMMS A TE INTE R FACE CON_NB3000_ATE_INTF ISP176X PORT1 PORT2 PORT3 U SB_U SE RHOST USB_ISP1760 ATE VGA#SPDIF UIO ADC#DAC#AUDIO HOST_JTAG PWM RS232#RS485#KBD#MSE#MIDI# HOST_ID A TE INTE R FACE VGA#SPDIF UIO ADC# DAC# AUDIO HOST_JTAG PWM# RS232#RS485#KBD#MSE#MOUSE ISP176X PORT1 PORT2 PORT3 PROTOTYPE U SE R _PROTOTYPE _AR E A PROTOTYPE_A PROTOTYPE CON CON_AU DIO CON_AUDIO_AC99_NOMIC.SCHDOC DIAGCOMMS MIDI# HOST_ID SW# SPAREIO MEM COMM ON_ME M OR Y CommonMemory CON CON_ADC CON_ADCx 4_KMRJIO3_5MM_6WAY CON CON_DAC CON_DACx 4_KMRJIO3_5MM_6WAY CON CON_PW M CON_PWMx4_KMRJIO3_5MM_6WAY CON CON_USB2 CON_USBA_RA_UPRIGHT CON CON_USB3 CON_USBA_RA_UPRIGHT SRAM SRA M_HOST SRAM_256Kx 16_TSOP44 TFT_TOUCH INT U SB_CL K OSC_24MHZ.SchDoc HOST_USB.XTALIN HOST_USB.XTALOUT CMOSOUT XTALIN XTALOUT OSC DBUSB.XTALIN

1-风驰STM8开发板简介

作者风驰 QQ 779814207 E-Mail 779814207@https://www.360docs.net/doc/1014279116.html, 硬件平台风驰STM8开发板 库版本V2.1.0 非常感谢您阅读风驰STM8的学习文档,如果您在学习STM8的过程中遇到什么问题或者对我们的开发板有任何建议的话,非常欢迎您和我们一起讨论。 首先,我们想尝试着说明以下几个问题: 1.为什么选择STM8作为初学者入门的首选单片机而不是51? 答:风驰从以下几点来回答这个问题: 1.性价比高 相比于大多数入门级51开发板所选的51型号,如STC89S52、AT89C52,风驰开发板的STM8单片机—STM8S208R8要强大得多得多。输入捕捉、输出比较、PWM、时钟控制、电源管理、AWU、SPI、I2C、CAN总线等通信接口,例如STM8S208R8的UART模块不仅有普通的串行通信功能,还有智能卡和IrDA等特有功能。对应同样的功能,STM8S的性价比更高,普通的51单片机很难集成那么功能,而且,最重要的一点是,STM8多了这么功能,却只比一般开发板上的51单片机贵三四块钱,如此高的性价比,我们有什么理由不选择STM8呢? 2.STM8更适合初学者学习 市面上的51开发板的51型号的功能一般都是最简单的,单片机本身没什么太多的东西学习,所以与其说是在学单片机,不如说是在学如何操作外设。这样子造成的结果是对结构更复杂,功能更强大的16或32位CPU的学习其实是比较不利的。相信很多人在学了51之后打算学习32位的STM32,却发现特别不好上手。 那么,为什么说STM8更适合初学者学习呢? 首先,STM8单片机功能更强更丰富,TIM1~TIM4、ADC、SPI、I2C、CAN、BEEP、UART、选项字、FLASH、AWU,两种看门狗等等功能。对于初学者来说,选择一款功能丰富且强大的芯片作为入门的学习是非常重要。一开始就能接触到各种功能模块的学习以及各种通信总线的应用,在学习外设的同时深入掌握CPU的结构与功能。这将大大减少以后进阶学习32位CPU的难度。

基于FPGA开发板的数字钟设计初探

基于FPGA开发板的数字钟设计初探 摘要:本文介绍了基于FPGA开发板的数字钟设计的基本构想,所提供的功能,基本的模块和控制逻 辑。 关键词: 模块,数字钟 1引言 VHDL结合FPGA可以方便地,可重复利用地实现各种设计,本文主要从原理上规划出设计一个数字钟需要的模块和功能逻辑,以便后期使用VHDL和FPGA实现。 2 设计原理 本文打算实现的数字钟向用户提供的功能包括:秒、分、时、日、月显示,闹钟设定,时间校准。鉴于所提供的功能,电路应当包括以下五大模块:控制模块,分频模块,闹钟模块,计时模块和显示模块。控制模块包括了输入部分,用户通过外部按钮选择数字钟工作模式和输入基准时间,闹钟时刻;分频模块主要是给需要的模块提供特定频率的时钟信号;闹钟模块的主要作用是接收并存储用户输入的闹钟时刻,比较当前时刻是否是用户所设定的闹钟时刻,进而决定是否启动闹钟提醒装置(蜂鸣器);计时模块包括了秒、分、时、日,月计数模块,并提供给显示模块显示输出;显示模块包括数码管及驱动部分,蜂鸣器。 3 电路设计 控制模块主要是一个译码电路,控制系统所处的模式:正常计时显示,时间校准,设定闹钟。 计时模块通过六十进制、二十四进制、三十或三十一进制和十二进制的计数器实现计时。计时模式下利用分频器提供的基准时钟信号实现计时;时间校准模式下,用户输入按钮的脉冲作时钟信号。 分频模块是一个分频器,将系统提供的时钟分频到需要的频率。 闹钟模块在计时模式下利用比较电路检测当前时间,如果是闹钟时刻,则启动蜂鸣器;闹钟模式下,用户通过输入按钮脉冲设定闹钟,闹钟模块记录并存储。 显示模块在计时模式和时间校准模式下由计时模块控制显示,设定闹钟时由闹钟模块控制显示,方便用户设定闹钟,蜂鸣器由闹钟模块控制。

风驰STM8开发板例程教学

工程模板的创建 在开发STM8的时候,首先要学会创建一个工程模板,所有的开发历程都是基于这个工程模板。STM8有官方库,在开发的时候很方便,可以远离查寄存器的时代。学过51单片机或者AVR的人都知道,查寄存器是很不方便的。现在带大家进入一个利用库来开发单片机的新时代。本开发板的所有例程都是基于库V2.0.0版本。 STM8的编译器是IAR Embedded Workbench。下面叫大家如果去创建一个过程模板 工程模板创建步骤: 1、双击,打开IAR的界面,点击File->New->Workspace, 点击Project->Create New Project,出现 点击OK就行。 2、右击工程名就可以添加文件夹和文件, 3、

4、设置工程的Options,右击工程名->Options,设置其中两项,如下图 5、 在这里设置Device 为STM8S207RB 因为在我们风驰电子STM8开发板的主控芯片是STM8S207RB

这里是设置编译路径,使用了3条语句 $PROJ_DIR$\..\FWlib\inc $PROJ_DIR$\..\FWlib\src $PROJ_DIR$\..\USER $PROJ_DIR$\..意思是找到当前工程的上一级 $PROJ_DIR$\..\FWlib\inc意思是先找到当前工程的上一级FWlib文件夹,再找到inc文件夹 $PROJ_DIR$\.意思是当前文件夹

这里是设置输出文件为可执行文件 此外,我们还有修改一下头文件

在stm8s.h的头文件修改 #define STM8S207 /*!< STM8S High density devices without CAN */

Altera Cyclone III系列FPGA开发板简介

ETL-002 Altera Cyclone III 系列FPGA开发板简介 概述 ETL-002 FPGA开发板是以Altera公司的最新系列Cyclone III中的3C10为主芯片,并提供了极为丰富的芯片外围接口资源以及下载线,数据线以及资料光盘等。除了这些硬件外,我们还提供了十多个接口实验,并公开了电路原理图和实验的Verilog源代码,以便于大家对照学习,并可以在该开发板上进行二次开发。

单板描述 主芯片EP3C10提供了10320个逻辑单元(LE),46块SRAM(每块9K bits), 23个18*18的乘法器。开发板自带USB供电电路:您只需将USB线插上您的USB端口,无需外接+5V直流电源,开发板即可工作。开发板同时支持AS模式下载和JTAG模式下载。程序固化既可通过JTAG口也可直接对板上的FLASH进行编程。 丰富的外围接口可满足常用的外设的人机交互,我们还将剩下未用的40个用户I/O引脚全部引出,使得您可以通过这些信号对本开发板进行电路扩展。这些接口主要包括: PS2鼠标接口:可以将PS2鼠标的任何移动的信息反应在数码管上 PS2键盘接口:可以将PS2键盘上的任意按键以16进制的信息反应在数码管上 VGA显示器接口:可以将FPGA产生的信息反映在VGA显示器上。开发板自带了动态彩条显示的功能 双串口接口:可以与任何的串口设备相接。开发板自带的演示程序是将PC上的超级终端中输入的任何信息返回PC 4位数码管:可以实时显示任何的数字信息。开发板自带的演示程序实时地显示分钟和秒钟的信息。 4位LED灯:用于指示状态。开发板自带了跑马灯实验。 4位按键开关:可用于复位等作用。 4位拨码开关:可用于电路的选择作用

FPGA开发板实验手册2017

FPGA开发板实验指导书(2017) 第一章注意事项 工程未使用到的IO请设置为三态 所有程序请一定将未定义的引脚设为三态输入(As input tri-stated),一定不能将未使用的引脚设置为输出(As outputs driving ground),否则可能会造成核心板主芯片及存储芯片冲突损坏!或是造成其它意想不到的损坏! 如何设置未使用的引脚为三态? 答:Quartus--菜单Assignments--Device---Device and Pin Options---Unused Pins---Reserve all unused pins==改为As input tri-stated 每个例程下载前都养成习惯,先查下设置对不对,不对的话,改好,要重新编译一下。 如果程序下载进去,数码管、LED灯都乱亮了,那肯定是没设置好,请严格遵照上面的修改好,重新编译。 1.1JTAG及AS的插拔安全 开发板带有JTAG和AS下载接口,严禁带电拔抽JTAG及AS下载线!带电操作容易对FPGA芯片的内部配置部分电路造成致命损坏,损坏后是无法修复的!请牢记一条:插拔下载线时必须断电!平时建议大家使用JTAG下载插口,如图1.1所示,红色框的为JTAG下载口。AS插口在必要时再使用。 图1.1 下载接口JTAG和AS 1.2外接电源 开发板套装里面附带标准5V/2A电源一条,5V输入,内正外负。建议使用我们标配电源,如果使用其它电源,请您一定确认输出电压是否为5V,以免由于电压不同造成开发板损坏! 核心板和接口板均设有5V电源插口,位置在PCB左上角。如图1.2所示:使用开发板时,只用随便接一个插口即可。不必要核心板和接口板都插电源。因为电源走线内部是相通的。 注意图1.2蓝白色那个按键就是全板电源总开关,按下后即可接通电源,这时底板与核心板左上角均有电源指示灯LED点亮。正常情况下:板载的流水灯程序就运行了。

STM8教程-第六章-STM8S207-的外部电路

第六章STM8S207 的外部电路 本章主要介绍STM8S207 的硬件连接方法。由于STM8S207 是LQFP 封装的,一般需要打样板。一般两层板就会满足所需,STM8S207 几乎可以单片运行。 6.1 STM8S207 开发板电路 STM8S207 开发板实物图如下: 在STM8S207 自带丰富的外设下,添加了不少实在而有用的外设,特别之处是USB下载以及串口的功能。可以实现程序代码的下载以及与PC 机的UART 通信。 6.1.1 晶振电路 STM8S207 可以选择三个时钟源,有内部高速RC 振荡器,提供16MHz 频率或者分频使用;内部RC 低速振荡器提供128KHz 频率方便低速外设时钟或者待机状态;外部晶振或者时钟驱动,最高可以高达24MHz。为了显示出STM8S207 的最高性能,外部选择了24MHz 的无源晶振,方便程序选择时钟源。

6.1.2 复位电路 复位引脚NRST 内部集成了弱上拉电阻RPU,即可作为输入,也可作为开漏输出。 一个在复位引脚上宽度最小为500ns 的低电平脉冲即可产生一个外部复位。对于复位的检测是异步进行的,因此即使MCU 处于停机(Halt)模式,也有可能进入复位状态。 复位引脚也可以作为开漏输出用于对外部设备进行复位。无论内部复位源是什么,一旦复位,内部复位电路都会产生一个至少脉宽为20us 的复位脉冲。当没有外部复位发生时,内部弱上拉电阻可保证复位引脚处于高电平。 为了保证STM8S207 更好的性能,所以在原理图设计的时候还是外接了上拉电阻,NRST 内部电路如下图所示: 我们采取的原理图为如下所示: 6.1.3 电源电路 STM8S207 开发板采用的是USB 供电,USB 可以提供500mA 的电流已经足够STM8S207 所有功能的实现。在这里采用线性稳压芯片LM1117 3.3V,把USB 的供电分压为3.3V 供电给STM8S207 主控制芯片。 STM8 芯片有个特点是有4 组供电,分别是 ●VDD/VSS:主电源(3V 到5.5V) ●VDDIO/VSSIO:I/O 口供电电源(3V 到5.5V) ●VDDA/VSSA:模拟部分供电电源 ●VREF+/VREF-:ADC 参考电源 为了更好的性能和稳定性,这里采用了电感作为隔离,更好防止各个电源之间的干扰,提高稳定性。

手把手教你学FPGA 设计思想篇

泽屹电子 手把手教你学FPGA 设计思想篇 阿东团队编著

手把手教你学FPGA 设计思想篇

目录 写在前面...................................................................................................................................... - 4 - 1 什么是设计思想.................................................................................................................... - 6 - 2 概述........................................................................................................................................ - 6 - 3 代码简单化............................................................................................................................ - 6 - 4 注释层次化............................................................................................................................ - 7 - 5 交互界面清晰化.................................................................................................................... - 7 - 6 模块划分最优化.................................................................................................................... - 7 - 7 代码工具化............................................................................................................................ - 8 - 8 方案精细化............................................................................................................................ - 8 - 9 资源合理化............................................................................................................................ - 9 - 10 时序流水化.......................................................................................................................... - 9 - 11 资源优化方法.................................................................................................................... - 10 - 12 代码自检............................................................................................................................ - 10 - 13 通用电路BB化.................................................................................................................. - 10 -

[整理]FPGA开发板使用说明书.

目录 第一章综述 (1) 第二章系统模块 (2) 第三章软件的介绍 (11) 第四章USB 电缆的安装与使用 (28)

第一章综述 THSOPC-3型FPGA开发板是根据现代电子发展的方向,集EDA和SOPC系统开发为一体的综合性实验开发板,除了满足高校专、本科生和研究生的SOPC教学实验开发之外,也是电子设计和电子项目开发的理想工具。 一、实用范围: ●自主创新应用开发; ●单片机与FPGA联合开发; ●IC设计硬件仿真; ●科研项目硬件验证与开发; ●高速高档自主知识产权电子产品开发; ●毕业设计平台; ●研究生课题开发; ●电子设计竞赛培训; ●现代DSP开发应用; ●针对各类CPU IP核的片上系统开发; ●DSP Biulder系统设计。 二、硬件配置: THSOPC-3型FPGA开发板基于Altera Cyclone II 器件的嵌入式系统开发提供了一个很好的硬件平台,它可以为开发人员提供以下资源: ●支持+5V 电源适配器直接输入或者USB接口供电,5V、3.3V、1.2V混合电压源; ●FPGACycloneII FPGA EP2C8,40万门,2个锁相环; ●isp单片机AT89S8253。isp单片机AT89S8253及开发编程工具,MCS51兼容,12KB isp可编程Flash ROM,2KB ispEEPROM,都是10万次烧写周期;2.7-5.5V工作电压;0-24MHz工作时钟;可编程看门狗;增强型SPI串口,9个中断源等。此单片机可与FPGA联合开发,十分符合实现当今电子设计竞赛项目的功能与指标实现; ●EPM3032 CPLD; ● 4 Mbits 的EPCS4 配置芯片; ●512KB高速SRAM; ●20MHz 高精度时钟源(可倍频到300MHz); ● 4 个用户自定义按键; ●8 个用户自定义开关; ●8 个用户自定义LED; ● 2 个七段码LED; ●标准AS 编程接口和JTAG调试接口; ●两个标准2.54mm扩展接口,供用户自由扩展;

FPGA入门系列实验教程——点亮LED

FPGA入门系列实验教程V1.0 前言 目前市场销售FPGA开发板的厂商很多,但大多只提供些简单的代码,没有详尽的文档和教程提供给初学者。经验表明,很多学生在学习FPGA设计技术的过程中,虽然刚开始学习热情很高,但真正坚持下来一直到“学会”的却寥寥无几,除了学生个人毅力的因素外,另外一个更主要的原因就是所选的开发板缺少配套的说明文档和手把手系列的入门教程。原本FPGA的学习门槛相对于单片机来说就高了不少,再加上缺少手把手系列教程资料,这就给初学者学习FPGA增添了更多的困难,很多初学者因为找不到入门的方法而渐渐失去了学习FPGA的兴趣和信心。 作者从接著到系统学习FPGA有两年多的时间了,学习FPGA的时间不长,期间因为没有专业的老师指导,自己摸索学习FPGA走了很多的弯路。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习FPGA的历程,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多。发觉学习FPGA只要选择正确的方法是有捷径可走的,有很多人学习FPGA很长时间,因为没有找到正确的方法还是停留在入门阶段。 针对现状,作者从初学者的角度出发,结合作者学习FPGA的经验和亲身体会,遵循“宁可啰嗦一点,也不放过细节”的原则编写了详尽的实验教程作为艾米电子工作室开发套件的配套教程使用,主要面向FPGA初学者。FPGA的学习只有通过大量的操作与实践才能很好并快速的掌握。为此本实验教程从点亮LED 灯写起,深入浅出,以图文并茂的方式由易到难地列举了很多实例,采用手把手、Step by Step的方式让初学者以最快的方式掌握FPGA技术的开发流程以及Quartus II软件的使用,从而激起初学者学习FPGA的兴趣。在教程中作者采用“授人以渔”的方式,努力做到不仅讲述怎样进行试验,而且分析为什么这样做,以便初学者深刻理解并快速掌握FPGA的学习方法。 FPGA技术是不断发展变化的,要掌握FPGA技术的精髓,需要设计者在实践中不懈地摸索与积累,逐步提高自己的设计水平,本实验教程试图对初学者起到快速入门的作用。但由于作者学习FPGA时间不长,水平有限,错漏和不严谨之处在所难免,欢迎大家批评指正

FPGA助学板教材-睿智FPGA开发板硬件详解

睿智FPGA助学板硬件详解 开发板套件硬件是保证实验学习的基础,这部分内容主要针对硬件部分做简单描述,可适当阅读或翻查,特别是涉及到硬件接口定义等信息时,了解这部分内容很有必要。同时,可与光盘附带的硬件原理图一并参考使用。 1.睿智FPGA助学板硬件 1.1 总体介绍 图1 助学板硬件实拍图

主硬件资源 1 .主芯片采用ALTERA公司最新四代FPGA CycloneIV系列EP4CE6E22C8N; 2 .板载EPCS4N/EPCS16大容量串行配置芯片,支持JTAG/AS模式; 3. 板载64MbitSDRAM,支持SOPC,NIOSII开发(很多价低的板不带SDRAM,无法支持NIOS SOPC开发) ; 4 .板载50MHz有源晶振,提供系统工作主时钟 ; 5 .采用1117-3.3V稳压芯片,提供3.3V电压输出 ; 6 .采用1117-1.2V稳压芯片,提供FPGA内核电压 ; 7 .采用1117-2.5V稳压芯片,提供PLL电压 ; 8 .精心的去耦设计,采用大量去耦电容; 9. 提供5V直流电源插座; 10. 提供方口USB接口电源插座; 11. 一个系统复位按键Reset,也可做为用户输入按键 ; 12. 自锁按键电源开关 ; 13. LED电源指示灯 ; 14. 精心设计分配的IO资源,所有IO引出,3个扩展接口,通用2.54mm间距,任由您自己扩展;

15.JTAG下载接口对应下载的文件是.SOF,速度快,平常学习推荐使用此接口 ; 16. AS下载接口对应下载的文件是.POF,速度较慢,需要固化程序时使用 。 丰富外设资源 1 .板载4个独立按键,可做按键控制,数字逻辑基础实验等 ; 2 .板载4位LED发光二极管,可做LED控制,数字逻辑基础实验等 ; 3. 板载4位数码管,频率计,秒表 ; 4. 板载4位拨码开关,可做开关控制等实验 ; 5 .设有1X20液晶屏排座,支持LCD1602,LCD12864,TFT液晶屏(不包括LCD,需另购) ; 6 .精密可调电阻,调节液晶背光; 7 .板载1路蜂鸣器,可做发声及音乐实验 ; 8 .PS2接口,可做PS/2键盘实验 ; 9 .板载全新原装进口温度传感器芯片LM75A,可以做温度计实验 ; 10 .RS232串口,可做串口通讯实验 ; 11 .VGA接口,可做显示器实验等 ; 12. I2C串行EEPROM AT24C08,做IIC总线实验 ;

谈谈如何利用FPGA开发板进行ASIC原型开发

谈谈如何利用FPGA开发板进行ASIC原型开发 ASIC设计在尺寸和复杂性上不断增加,现代FPGA的容量和性能的新进展意味着这些设计中的2/3能够使用单个FPGA进行建模。然而,这些设计中仍然保留有1/3(那就是说,所有ASIC设计中的1/9)要求一个基于多个FPGA的原型开发板。 在不太遥远的过去,对ASIC设计团队而言,在这类情况下主要的解决方案就是在内部建立他们自己的定制多个FPGA的原型开发板。然而,今天,使用现成的多个FPGA原型开发板例如,由Synplicity公司的原型开发伙伴生产的开发板与合适的设计工具相结合能够节省数周时间,否则的话将花费几个月的验证时间以及在NRE费用上花费数万美元。 本文首先讨论了ASIC验证能够采用的主要技术。接着,文章考虑了与使用一个现成的产品相比,建立一个定制的多个FPGA的原型开发板的优势和缺陷。最后,论文介绍了目前最先进的用于验证大型设计的分割和综合设计工具,其采用内部开发或现成的多个FPGA 的原型开发板。 可供选择的验证技术今天高端ASIC,例如那些在手机、通讯、图形子系统以及信号处理应用中使用的,经常包含多个CPU和DSP内核,其结合了硬件加速器、外围设备、接口和存储器管理内核。(由于这些讨论的目的,术语ASIC被假设包括了ASSP和SoC器件。)所以,为了满足芯片的市场需求,尽可能早的在设计阶段开发、端口、集成、调试和验证任何嵌入式软件的内容。 ASIC的RTL全功能验证其本身与任何嵌入式软件是ASIC设计过程中最耗费时间和最困难的部分之一。统计表明今天ASIC设计中的70%要求重制。除了费用极其昂贵之外,重制能够引起项目失去其市场空间,这将严重损害公司的声誉和金融底线。 对ASIC设计者开放的三个主要验证选择是仿真,模拟和FPGA原型开发。 *仿真:基于软件的仿真被广泛使用,但即使在一个真正的高端(并且,相对昂贵)的计算机平台运行时,其运行比实际的ASIC硬件慢六到十个数量级,这使得其成为一项极大花费时间并且效率极差的技术。为了提供整个系统的尺寸认识,软件仿真能够典型地达到仅仅几Hz相当的速度(那就是,设计的系统时钟相对真实时间每秒钟的几个周期)。实际上,

相关文档
最新文档