数字逻辑电路实验报告

数字逻辑电路实验报告
数字逻辑电路实验报告

数字逻辑电路设计

--多功能数字钟

学院:计算机科学与通信工程

专业:

姓名:

学号:

指导老师:

多功能数字钟

一、设计任务及要求

(1)拥有正常的时、分、秒计时功能。

(2)能利用实验板上的按键实现校时、校分及清零功能。

(3)能利用实验板上的扬声器做整点报时。

(4)闹钟功能

(5)在MAXPLUS II 中采用层次化设计方法进行设计。

(6)在完成全部电路设计后在实验板上下载,验证设计课题的正确性。

二、多功能数字钟的总体设计和顶层原理图

作为根据总体设计框图,可以将整个系统分为六个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。

(1)计时模块

该模块使用74LS160构成的一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制计数器用于计时,六十进制计数器用于计分和秒。只要给秒计数器一个1HZ的时钟脉冲,则可以进行正常计时。分计数器以秒计数器的进位作为计数脉冲。

用两个74160连成24进制的计数器,原图及生成的器件如下:

用两个74160连成的60进制计数器,原图及生成的器件如下:

生成的二十四进制计数器

生成的六十进制计数器

注:

利用使能端,时钟信号,清零以及预置

数功能连成24进制。

(2)校时模块

校时模块设计要求实现校时,校分以及清零功能。

*按下校时键,小时计数器迅速递增以调至所需要的小时位。

*按下校分键,分计数器迅速递增以调至所需要的分位。

*按下清零键,将秒计数器清零。

注意事项:①在校分时,分计数器的计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号以防止小时计数器计数。

②利用D触发器进行按键抖动的消除,因为D触发器是边沿触发,在除去时钟边沿到来前一瞬间之外的绝大部分时间都不接受输入,可以消除抖动。

③计时采用1HZ的脉冲驱动计数器计数,而校时则需要较高频率的信号驱动以达到快速校时的目的。因此这两种脉冲信号就需要两路选择器进行选择,条件即为是否按键。

注:D触发器用于按键的消抖,接更高的频率用于校时和校分,二路选择器用于区分是正常计时还是校时。

(3)整点报时模块

计时到59分50秒时,每两秒一次低音报时,整点时进行高音报时。以不

同频率的脉冲信号区分低音和高音报时。报时的条件是计数器计数至所需

要的时间点,因而需要一个比较模块,将分计数器和秒计数器的输出连至

比较模块输入端完成比较过程。

注:

F1表示计数器分的高位,F0表示分的低位;M1表示秒的高位,M0表

示秒的低位。当时间为59分00,02,04,06,08进行低音报时,当为整点时进

行高音报时。SIGA 为1时低音报时,SIGB 为1时高音报时。

(4)分频模块

在这个系统中需要很多种不同频率的脉冲信号,这些均可以通过一个基准

频率分频器生成。分频器就是一个进制很大的计数器,利用计数器的分频

功能,从不同的输出位得到所需要的脉冲信号。

(4)动态显示模块

在6个不同的时间段分别将每组时间经过七段译码后输出到6个数码管,

当某一组时间的七段码到达时,只点亮对应位置上的数码管,显示相应的

生成的分频器

注: 由于clk 的频率为1024hz ,所以可以定义一个std_logic_vector(9 downto 0),使它不停地从0000000000加到1111111111然后又返回0000000000,由于最低位在clk 脉冲到来时从0变为1,然后又在下一个脉冲变回0,因此最低位的时钟周期为clk 的时钟周期的两倍,它的频率就为

clk 频率的确1/2即512hz 。同理,次高位的频率就为clk 频率的1/2 * 1/2

= 1/4,用这种方法就可以得到各种能整除1024的频率,从而实现分频。

数字,6次一个循环,形成一个扫描序列。利用人眼的视觉暂留则可以同步

显示6个数字。

注:

CLK 为时钟信号,S 为计数器的小时,F 为分,M 为秒,SELOUT

为六路选择器,选择哪个数码管工作,SEGOUT 为七段译码器,使数

码管显示数字。

(6)闹钟模块

注意事项:① 设定的闹钟的时间应使用新的计数器进行存储,与正常的计

时互不干扰。

② 与正常计时状态的显示切换。可以设定一个按键,用于选择

是将计时时间还是将闹钟时间送至动态显示模块。

③ 应实现一个比较模块,当计时到与闹钟时间相等时,则驱动

扬声器鸣叫。

④ 闹钟响声应限定在一定时间内,且在这段时间内应随时可以

通过按键取消闹时状态。

闹钟调时和分以及正常计时与闹钟定时之间的选择原图及生成的器件如

下:

器件

闹钟界面和正常计时界面的转换器件如下:

注:

S 表示计时器的时,F 表示计时器的分,M 表示计数器的秒;

SS 表示闹钟的时,FF 表示闹钟的分;Q 为计时和闹钟两个界面的切换

开关,ABC 为输出的时间。

正常计时时间和设定闹钟时间的比较器件如下:

注:

注:

输入端CLK 为时钟信号,SD 清零,NAOZHONG 是使计

数器正常计时和闹钟定时界面的切换,SE 调闹钟的小时,SD

调闹钟的分,输出端即为闹钟的小时和分。

S 表示正常计时的小时,F表示闹钟的分;

SS表示闹钟的小时,FF表示闹钟的分;Q为闹钟的开关,D当Q为1时,

并且正常计时的时间和闹钟时间相等时输出为1,否则为0。

三、心得和体会

经过一个星期对数字时钟实践的制作,从中学到了很多。首先是对EDA 的VHDL语言的更深层次认识,本来觉得EDA编程语言比较麻烦,可是接触了以后也就觉得它还是有它方便的地方,尤其是和图形编程结合的特点。其次,这个实践其实到目前为止应该还不是一个成功的作品,还是有很多的仿真没有完成,原因可能也是自己的技术不到位。但是整个制作的过程中,它促进了同学之间的相互沟通,也让我在自己的专业知识的学习过程中,更多的,更好的学习一门知识,用于以后的实践应用中,做这个数字钟的设计中包含了很多不同功能的程序,让我在其中学到了一些程序的中的思路,特别一步一步去把错误的程序改正确是一种很有成就感的事!这样让我学到了更多的知识!特别是在做数字时钟闹钟的那个模块时,我在网上查了好多程序,证实了好多错误的程序并从中更改出正确的程序!相信,现在只是一个起步,以后,我会更好的努力,学习,对EDA孰能生巧。

附录:

用VHDL语言写的六十进制计数器如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt60_06 is

port (clk:in std_logic;

clear:in std_logic;

c:out std_logic;

k1,k0:out std_logic_vector(3 downto 0));

end cnt60_06;

architecture cnt of cnt60_06 is

signal q1,q0:std_logic_vector(3 downto 0);

begin

process(clk,clear)

begin

if(clear='1')then

q1<="0000";q0<="0000";c<='0';

else

if(clk'event and clk='1')then

if(q1="0101" and q0="1001")then-----到59

q1<="0000";q0<="0000";c<='1';

elsif(q1<"0101" and q0="1001")then

q0<="0000";q1<=q1+'1';c<='0';

elsif(q0<"1001") then

q0<=q0+'1';

end if;

end if;

end if;

k1<=q1;

k0<=q0;

end process;

end cnt;

用VHDL语言写的报时器源代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity alert_06 is

port(f1,f0,m1,m0:in std_logic_vector(3 downto 0);

siga,sigb:out std_logic);

end alert_06;

architecture a of alert_06 is

begin

siga<='1'when(f1="0101" and f0="1001" and m1="0101" and (m0="0000" or m0="0010" or m0="0100" or m0="0110" or m0="1000"))else'0';

sigb<='1'when(f1="0000" and f0="0000" and m1="0000" and m0="0000")else'0'; end a;

用VHDL语言写的分频器的源代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin_06 is

port (clk:in std_logic;

hz512,hz256,hz64,hz4,hz1:out std_logic);

end fenpin_06 ;

architecture f of fenpin_06 is

signal cc: std_logic_vector(9 downto 0);

begin

process(clk)

begin

if(clk'event and clk='1') then

if(cc="1111111111")then

cc<="0000000000";

else

cc<=cc+1;

end if;

end if;

end process;

hz512<=cc(0);

hz256<=cc(1);

hz64<=cc(3);

hz4<=cc(7);

hz1<=cc(9);

end f;

用VHDL语言写的动态扫描的源代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity display_06 is

port(clk:in std_logic;

s :in std_logic_vector(7 downto 0);

f :in std_logic_vector(7 downto 0);

m :in std_logic_vector(7 downto 0);

selout:out std_logic_vector(5 downto 0);

segout:out std_logic_vector(6 downto 0)

);

end display_06 ;

architecture a of display_06 is

signal number:std_logic_vector(3 downto 0); signal sel :std_logic_vector(5 downto 0);

signal seg :std_logic_vector(6 downto 0); signal q :std_logic_vector(2 downto 0); begin

a:process(clk)

begin

if(clk'event and clk='1')then

q<=q+1;

end if;

end process a;

process(q)

begin

case q is

when"000"=>sel<="000001"; when"001"=>sel<="000010"; when"010"=>sel<="000100"; when"011"=>sel<="001000"; when"100"=>sel<="010000"; when"101"=>sel<="100000";

when others=>sel<="000000";

end case;

end process;

process

begin

if sel ="000001"then

number<=m(3 downto 0);

elsif sel="000010"then

number<=m(7 downto 4);

elsif sel="000100"then

number<=f(3 downto 0);

elsif sel="001000"then

number<=f(7 downto 4);

elsif sel="010000"then

number<=s(3 downto 0);

elsif sel="100000"then

number<=s(7 downto 4);

else

number<="1111";

end if;

end process;

process(number)

begin

case number is

when"0000"=>seg<="0111111";

when"0001"=>seg<="0000110";

when"0010"=>seg<="1011011";

when"0011"=>seg<="1001111";

when"0100"=>seg<="1100110";

when"0101"=>seg<="1101101";

when"0110"=>seg<="1111101";

when"0111"=>seg<="0000111";

when"1000"=>seg<="1111111";

when"1001"=>seg<="1101111";

when others=>seg<="0000000";

end case;

end process;

selout<=sel;

segout<=seg;

end a;

闹钟界面和正常计时界面之间的切换源代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity switch_06 is

port(s:in std_logic_vector(7 downto 0);

ss:in std_logic_vector(7 downto 0);

f:in std_logic_vector(7 downto 0);

ff:in std_logic_vector(7 downto 0);

m:in std_logic_vector(7 downto 0);

Q:in std_logic;

A:out std_logic_vector(7 downto 0);

B:out std_logic_vector(7 downto 0);

C:out std_logic_vector(7 downto 0));

end switch_06;

architecture a of switch_06 is

begin

process(Q,s,ss,f,ff,m)

Begin

if(Q='1') then

A<=ss;B<=ff;C<="00000000";

else

A<=s;B<=f;C<=m;

end if;

end process;

end a;

正常计时时间和设定的闹钟时间之间的比较的源代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity comp_06 is port(

s,ss,f,ff:in std_logic_vector(7 downto 0);

d:out std_logic;

Q:in std_logic);

end comp_06;

architecture behavior of comp_06 is

begin

process(Q,s,ss,f,ff)

begin

if(rising_edge(Q))then

if(s=ss and f=ff)then

d<='1';

else d<='0';

end if;

end if;

end process;

end behavior;

单管共射极放大电路仿真实验报告

单管共射极分压式放大电路仿真实验报告 班级__________姓名___________学号_________ 一、实验目的:1.学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2.掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的 测量法。 3.熟悉简单放大电路的计算及电路调试。 4.能够设计较为简单的对温度稳定的具有一定放大倍数的放大电路。 二、实验要求:输入信号Ai=5 mv, 频率f=20KHz, 输出电阻R0=3kΩ, 放大倍数Au=60,直 流电源V cc=6v,负载R L=20 kΩ,Ri≥5k,Ro≤3k,电容C1=C2=C3=10uf。三、实验原理: (一)双极型三极管放大电路的三种基本组态。 1.单管共射极放大电路。 (1)基本电路组成。如下图所示: (2)静态分析。I BQ=(V cc-U BEQ)/R B (V CC为图中RC(1)) I=βI BQ

U CEQ=V CC-I CQ R C (3)动态分析。A U=-β(R C管共集电极放大电路(射极跟随器)。 (1)基本电路组成。如下图所示: (2)静态分析。I BQ=(V cc-U BEQ)/(R b +(1+β)R e)(V CC为图中Q1(C)) I CQ=βI BQ U CEQ=V CC-I EQ R e≈V CC-I CQ R e (3)动态分析。A U=(1+β)(R e管共基极放大电路。 (1)基本电路组成。如下图所示:

(2)静态分析。I EQ=(U BQ-U BEQ)/R e≈I CQ (V CC为图中RB2(2)) I BQ=I EQ/(1+β) U CEQ=V CC-I CQ R C-I EQ R e≈V CC-I QC(R C+R e) (3)动态分析。AU=β(R C极管将输入信号放大。 2.两电阻给三极管基极提供一个不受温度影响的偏置电流。 3.采用单管分压式共射极电流负反馈式工作点稳定电路。 四、实验步骤: 1.选用2N1711型三极管,测出其β值。 (1)接好如图所示测定电路。为使ib达到毫安级,设定滑动变阻器Rv1的最大阻值是 1000kΩ,又R1=3 kΩ。

三相交流电路实验报告1

中国石油大学(华东)现代远程教育 实验报告 课程名称:电工电子学 实验名称:三相交流电路 实验形式:在线模拟 +现场实践 提交形式:在线提交实验报告 学生姓名:赵军学号: 年级专业层次:14 春石油开采技术高起专 学习中心:江苏油田学习中心 提交时间:2014 年 6 月8 日

一、实验目的 1 . 练习三相交流电路中负载的星形接法。 2 . 了解三相四线制中线的作用。 二、实验原理 1 . 对称三相电路中线、相电压和线、相电流的关系,三相电路中,负载的连接分为星形连接和三角形连接两种。一般认为电源提供的是对称三相电压。 ( 1 )星形连接的负载如图1 所示: 图1 星形连接的三相电路 A、B、C表示电源端,N为电源的中性点(简称中点),N'为负载的中性点。无论是三线制或四线制,流过每一相负载的相电流恒等于与之相连的端线中的线电流: (下标I 表示线的变量,下标p 表示相的变量) 在四线制情况下,中线电流等于三个线电流的相量之和,即 端线之间的电位差(即线电压)和每一相负载的相电压之间有下列关系:

当三相电路对称时,线、相电压和线、相电流都对称,中线电流等于零,而线、相电压满足: ( 2 )三角形连接的负载如图2 所示: 其特点是相电压等于线电压: 线电流和相电流之间的关系如下: 当三相电路对称时,线、相电压和线、相电流都对称,此时线、相电流满足: 2 . 不对称三相电路 在三相三线制星形连接的电路中,若负载不对称,电源中点和负载中点的电位不再相等,称为中点位移,此时负载端各相电压将不对称,电流和线电压也不对称。 在三相四线制星形连接的电路中,如果中线的阻抗足够小,那么负载端各相电压基本对称,线电压也基本对称,从而可看出中线在负载不对称时起到了很重要的作用。但由于负载不对称,因此电流是不对称的三相电流,这时的中线电流将不再为零。 在三角形连接的电路中,如果负载不对称,负载的线、相电压仍然对称,但线、相电流不再 对称。 如果三相电路其中一相或两相开路也属于不对称情况。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

电力电子电路分析与仿真实验报告模板

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号:

年月日 实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个

平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。 3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图:

五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。 3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

电路仿真实验报告

单片机原理及接口技术电路仿真实验报告 实验一:独立式键盘与LED显示示例 例4—17: 功能:数码管的数据端与P0口引脚采用正序,试编写程序,分别实现功能:上电后数码管显示“P”,按下任何键后,显示从“0”开始每隔1秒加1,加至“F”后,数码管显示“P”,进入等待按键状态。 Keil编程: 电路图: 初始状态时:

3 秒后:程序: TEMP EQU 30H ORG 0000H JMP START ORG 0100H START:MOV SP,#5FH MOV P0,#8CH MOV P3,#0FFH NOKEY:MOV A,P3 CPL A JZ NOKEY MOV TEMP,P3 CALL D10ms MOV A,P3 CJNE A,TEMP,NOKEY MOV R7,#16 MOV R2,#0 LOOP:MOV A,R2 MOV DPTR,#CODE_P0 MOVC A,@A+DPTR MOV P0,A INC R2 SETB RS0 CALL D_1S CLR RS0 DJNZ R7,LOOP JMP START D_1S:MOV R6,#100 D10:CALL D10ms DJNZ R6,D10 RET D10ms:MOV R5,#10 D1ms:MOV R4,#249 DL:NOP NOP DJNZ R4,DL DJNZ R5,D1ms RET CODE_P0:DB 0C0H,0F9H,0A4H,0B0H,99H, 92H,82H,0F8H DB 80H,90H,88H,83H,0C6H,0A1 H,86H,8EH END 例4—18: 功能:执行程序时,先显示“P” 1、按键K0按下后,数码管显示拨动开关S3~S0对应的十进制值; 2、按键K1按下后,P0口数码管显示拨动开关S3~S0对应的十六进制值; 3、按键K2按下后,P2口数码管显示拨动开关S3~S0对应的十六制值;

电路仿真实验报告42016年度

电路仿真实验报告 实验一直流电路工作点分析和直流扫描分析 一、实验目的 (1)学习使用Pspice软件,熟悉它的工作流程,即绘制电路图、元件类别的选择及其参数的赋值、分析类型的建立及其参数的设置、Probe窗口的设置和分析的运行过程等。 (2)学习使用Pspice进行直流工作点的分析和直流扫描的操作步骤。 二、原理与说明 对于电阻电路,可以用直观法列些电路方程,求解电路中各个电压和电流。Pspice软件是采用节点电压法对电路进行分析的。 使用Pspice软件进行电路的计算机辅助分析时,首先编辑电路,用Pspice的元件符号库绘制电路图并进行编辑。存盘。然后调用分析模块、选择分析类型,就可以“自动”进行电路分析了。 三、实验示例 1、利用Pspice绘制电路图如下 2、仿真 (1)点击Psipce/New Simulation Profile,输入名称; (2)在弹出的窗口中Basic Point是默认选中,必须进行分析的。点击确定。 (3)点击Pspice/Run(快捷键F11)或工具栏相应按钮。 (4)如原理图无错误,则显示Pspice A/D窗口。

(5)在原理图窗口中点击V,I工具栏按钮,图形显示各节点电压和各元件电流值如下。 四、选做实验 1、直流工作点分析,即求各节点电压和各元件电压和电流。 2、直流扫描分析,即当电压源的电压在0-12V之间变化时,求负载电阻R l中电流虽电压源的变化

曲线。 曲线如图: 直流扫描分析的输出波形3、数据输出为: V_Vs1 I(V_PRINT1) 0.000E+00 1.400E+00 1.000E+00 1.500E+00 2.000E+00 1.600E+00 3.000E+00 1.700E+00 4.000E+00 1.800E+00 5.000E+00 1.900E+00 6.000E+00 2.000E+00 7.000E+00 2.100E+00 8.000E+00 2.200E+00 9.000E+00 2.300E+00 1.000E+01 2.400E+00 1.100E+01 2.500E+00 1.200E+01 2.600E+00

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

单相半波整流电路仿真实验报告

单相半波整流电路仿真实验报告 一、实验目的和要求 1.掌握晶闸管触发电路的调试步骤与方法; 2.掌握单相半波可控整流电路在电阻负载和阻感负载时的工作; 3.掌握单相半波可控整流电路MATLAB的仿真方法,会设置各个模块的参数。 二、实验模型和参数设置 1. 总模型图: 有效值子系统模型图: 平均值子系统模型图:

2.参数设置 晶闸管:Ron=1e-3,Lon=1e-5,Vf=,Ic=0,Rs=500, Cs=250e-9.电源:Up=100*, f=50Hz. 脉冲发生器:Amplitude=5, period=, Pulse Width=2 情况一:R=1Ω,L=10mH; a=0°or a=60°; 情况二:L=10mH; a=0°or a=60°; 三、波形记录和实验结果分析 (1)R=1Ω,L=10mH; a=0°时的波形图: (2)R=1Ω,L=10mH; a=60°时的波形图:

(3)L=10mH; a=0°时的波形图: (4)L=10mH; a=60°时的波形图:

在波形图中,从上到下依次代表电源电压、脉冲发生器电压、晶闸管的电流,、晶闸管两端电压、负载电流和负载两端电压。 分析对比这四张图可以知道,由于负载中有电感,因此晶闸管截止的时刻并不在电压源为负值的时刻,而是在流过晶闸管的电流为零的时刻;同时,在对比中可以发现在电感相同的情况下,电阻负载的存在会使关断时间提前。 1.计算负载电流、负载电压的平均值: 以R=1Ω,L=10mH时 o α = 负载电压的平均值为如下: o α 60 = 负载电压的平均值为如下:

电工电子学实验报告_实验三_三相交流电路.doc

一、实验目的 1.学习三相交流电路中三相负载的连接。 2.了解三相四线制中线的作用。 3.掌握三相电路功率的测量方法。 二、主要仪器设备 1.实验电路板 2.三相交流电源 3.交流电压表或万用表 4.交流电流表 5.功率表 6.单掷刀开关 7.电流插头、插座 三、实验内容 1.三相负载星形联结 按图 3-2 接线,图中每相负载采用三只白炽灯,电源线电压为220V。 图3-2 三相负载星形联结 (1) 测量三相四线制电源的线电压和相电压,记入表3-1( 注意线电压和相电压的关系) 。 U UV/V U VW/V U WU/V U UN/V U VN/V U WN/V 219218 220127 127127 表 3-1 (2)按表 3-2 内容完成各项测量,并观察实验中各白炽灯的亮度。表中对称负载时为每相开亮三 只灯;不对称负载时为 U相开亮一只灯, V 相开亮两只灯, W相开亮三只灯。 测量值相电压相电流中线电流中点电压负载情况U UN’ /V U VN’ /V U WN’ /VI U/AI V/AI W/A I N/A U N’N/V 对称有中线124 124 124 0 负载无中线125 125 123 1 不对称有中线126 125 124

负载 无中线 167 143 78 50 表 3-2 2. 三相负载三角形联结 按图 3-3 连线。测量功率时可用一只功率表借助电流插头和插座实现一表两用, 具体接法见图 3-4 所示。接好实验电路后,按表 3-3 内容完成各项测量,并观察实验中白炽灯的亮度。表中对称负载和不 对称负载的开灯要求与表 3-2 中相同。 图 3-3 三相负载三角形联结 图 3-4 两瓦特表法测功率 测量值 线电流 (A) 相电流 (A) 负载电压 (V) 功率 (W) 负载情况 I U I V I W I UV I VW I WU UV VW WU 1 2 U U U P P 对称负载 213 212 215 -111 -109 不对称负载 220 217 216 表 3-3

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

组合逻辑电路实验报告.docx

篇一:培养基的制备与灭菌实验报告 陕西师范大学远程教育学院 生物学实验报告 报告题目培养基的制备与灭菌 姓名刘伟 学号 专业生物科学 批次/层次 指导教师 学习中心培养基的制备与灭菌 一、目的要求 1.掌握微生物实验室常用玻璃器皿的清洗及包扎方法。 2.掌握培养基的配置原则和方法。 3.掌握高压蒸汽灭菌的操作方法和注意事项。 二、基本原理 牛肉膏蛋白胨培养基: 是一种应用最广泛和最普通的细菌基础培养基,有时又称为普通培养基。由于这种培养基中含有一 般细胞生长繁殖所需要的最基本的营养物质,所以可供细菌生长繁殖之用。 高压蒸汽灭菌: 主要是通过升温使蛋白质变性从而达到杀死微生物的效果。将灭菌的物品放在一个密闭和加压的灭 菌锅内,通过加热,使灭菌锅内水沸腾而产生蒸汽。待蒸汽将锅内冷空气从排气阀中趋尽,关闭排 气阀继续加热。此时蒸汽不溢出,压力增大,沸点升高,获得高于100℃的温度导致菌体蛋白凝固 变性,而达到灭菌的目的。 三、实验材料 1.药品:牛肉膏、蛋白胨、nacl、琼脂、1mol/l的naoh和hcl溶液。 2.仪器及玻璃器皿:天平、高压蒸汽灭菌锅、移液管、试管、烧杯、量筒、三 角瓶、培养皿、玻璃漏斗等。 3.其他物品:药匙、称量纸、ph试纸、记号笔、棉花等。 四、操作步骤 (一)玻璃器皿的洗涤和包装 1.玻璃器皿的洗涤 玻璃器皿在使用前必须洗刷干净。将三角瓶、试管、培养皿、量筒等浸入含有洗涤剂的水中.用毛 刷刷洗,然后用自来水及蒸馏水冲净。移液管先用含有洗涤剂的水浸泡,再用自来水及蒸馏水冲洗。洗刷干净的玻璃器皿置于烘箱中烘干后备用。 2.灭菌前玻璃器皿的包装 (1)培养皿的包扎:培养皿由一盖一底组成一套,可用报纸将几套培养皿包

电路仿真实验报告

本科实验报告 实验名称:电路仿真 实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或

AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源 I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描点数为10,观察输出节点为Vout响应。 TRAN分析:分析5个周期输出节点为Vout的时域响应。 实验结果: 要求将实验分析的数据保存 (包括图形和数据),并验证结果是否正确,最后提交实验报告时需要将实验结果附在实验报告后。 根据并联谐振电路原理,谐振时节点out电压最大且谐振频率为w0=1/LC=1000 10,f0=w0/2 =503.29Hz 谐振时节点out电压 * 理论值由分压公式得u=2000/(2000+10)*5=4.9751V.

三相交流电路实验报告-百度文库(精)

三相交流电路实验报告-百度文库(精)

中国石油大学(华东)现代远程教育 实验报告 课程名称:电工电子学 实验名称:三相交流电路 实验形式:在线模拟+现场实践 提交形式:在线提交实验报告 学生姓名:毕义合学号:12952112061 年级专业层次:网络12春高起专 学习中心:建设工程分院函授站 提交时间: 2013 年 6 月 23 日

一、实验目的 1. 练习三相交流电路中负载的星形接法。 2. 了解三相四线制中线的作用。 二、实验原理 1. 对称三相电路中线、相电压和线、相电流的关系,三相电路中,负载的连接分为星形连接和三角形连接两种。一般认为电源提供的是对称三相电压。 (1)星形连接的负载如图1所示: 图1 星形连接的三相电路

A、B、C表示电源端,N为电源的中性点(简称中点),N' 为负载的中性点。无论是三线制或四线制,流过每一相负载的相电流恒等于与之相连的端线中的线电流: (下标I表示线的变量,下标p表示相的变量) 在四线制情况下,中线电流等于三个线电流 的相量之和,即 端线之间的电位差(即线电压)和每一相负载的相电压之间有下列关系: 当三相电路对称时,线、相电压和线、相电流都对称,中线电流等于零,而线、相电压满足: (2)三角形连接的负载如图2所示:

其特点是相电压等于线电压: 线电流和相电流之间的关系如下: 当三相电路对称时,线、相电压和线、相电 流都对称,此时线、相电流满足: 2.不对称三相电路 在三相三线制星形连接的电路中,若负载不对称,电源中点和负载中点的电位不再相等,称

为中点位移,此时负载端各相电压将不对称,电流和线电压也不对称。 在三相四线制星形连接的电路中,如果中线的阻抗足够小,那么负载端各相电压基本对称,线电压也基本对称,从而可看出中线在负载不对称时起到了很重要的作用。但由于负载不对称,因此电流是不对称的三相电流,这时的中线电流将不再为零。 在三角形连接的电路中,如果负载不对称,负载的线、相电压仍然对称,但线、相电流不再对称。 如果三相电路其中一相或两相开路也属于不对称情况。 3.三相负载接线原则 连接后加在每相负载上的电压应等于其额定

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

组合逻辑电路-实验报告

电子通信与软件工程系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级:姓名:学号:成绩: 同组成员:姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、实验名称:组合逻辑电路(半加器全加器及逻辑运算) 二、实验目的:1、掌握组合逻辑电路的功能调试 2、验证半加器和全加器的逻辑功能。 3、学会二进制数的运算规律。 三、实验内容: 1.组合逻辑电路功能测试。 (1).用2片74LS00组成图所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2).图中A、B、C接电平开关,YI,Y2接发光管电平显示. (3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式. (4).将运算结果与实验比较.

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图. (1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表. 3.测试全加器的逻辑功能。 (1).写出图4.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表. (3).根据真值表画逻辑函数S i 、Ci的卡诺图. (4).填写表4.3各点状态 (5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.

相关文档
最新文档