奇偶校验器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

奇偶校验器

一. 实验目的

1. 理解奇偶校验码.

2. 设计并实现一个8位代码奇偶校验器.

二、概述与原理

1.奇偶校验码是一种最简单,最直接。应用最广泛的检错码,他的码距为2,因此他只能检出一位错。实现方法是:由若干位有效信息,再加上一个二进制组成校验码。检验位的取值(0或1)将是整个校验码中“1”的个数为奇数或偶数。但校验码的取值使整个校验码中“1”的个数为奇数时,称为奇校验;当“1”的个数为偶数时为偶校验。在实际中应用中多采用奇校验,因为奇校验中不存在全为“0”的代码,因此在某些场合下更易于辨别。

2.校验位的形成:当吧一个字节的代码D7~D0写入主存时,就同时将它们送往奇偶校验逻辑电路,该电路产生的“奇形成”信号就是校验位。它将8位代码一起作为奇校验码写入主存。若D7~D0中有偶数个“1”,则形成“奇形成”,若D7~D0中有奇数个“1”,则“奇形成”等于0

3.校验检测:校验检测是将读出的9位代码(8位信号位和一位校验码)同时送入奇偶校验电路检测。若读出代码没有错误,则“奇偶检验出错”等于0;若读出代码在某位上出现错误。则“奇偶校验出错”等于1,表示这个9位代码中一定有某一位出现错误,但是具体的错误位置不能确定。

三、实验步骤

1.下载并安装multisim软件,打开创建一个设计页面

2.放置元器件(1个5V直流电源,10个开关,10个10千欧和一个300欧的电阻,17个异或门,2个非门,一个LED显示灯,底线)

3。根据实验原理设计并连接电路如下图所示

六、总结与体会

为了系统的可靠性,对于位数较少,电路较简单的应用,可以采用奇偶校验的方法。奇校验是通过增加一位校验位的逻辑取值,在源端将原数据代码中为1的位数形成奇数,然后在宿端使用该代码时,连同校验位一起检查为1的位数是否是奇数,做出进一步操作的决定。奇偶校验只能检查一位错误,且没有纠错的能力。偶校验道理与奇校验相同,只是将校验位连同原数据代码中为1的位数形成偶数。奇偶校验器多设计成九位二进制数,以适应一个字节,一个ASCII代码的应用要求。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力

相关文档
最新文档