EDA课程设计16X16点阵程序

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《EDA技术与应用》

课程设计报告

题目:LED点阵显示屏控制系统设计院(系):

专业班级:

学生姓名:

学号:

指导教师:

2017年6月 19日至2017年 6 月23 日

《EDA技术及应用》课程设计任务书

摘要

主要研究基于VHDL的LED点阵汉字滚动显示。首先描述了基于现场可编程门阵(FPGA)的硬件电路,以及点阵显示汉字的原理;然后在单个16x16LED发光二极管点阵上滚动显示汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。通过编程、调试、仿真、下载正确的实现了汉字的滚动显示扫描结果,其硬件系统的实验验证也获得了与软件仿真结论吻合的结果。

关键词:LED点阵;FPGA;VHDL语言;汉字滚动显示。

目录

1.实验要求及总体方案............................................................ 错误!未定义书签。

1.1 实验要求....................................................................... 错误!未定义书签。

1.2 扫描显示....................................................................... 错误!未定义书签。

1.3 滚动显示....................................................................... 错误!未定义书签。2.LED点阵显示原理............................................................... 错误!未定义书签。

2.1 LED点阵原理............................................................... 错误!未定义书签。

2.2汉字取模........................................................................ 错误!未定义书签。3.扫描显示................................................................................ 错误!未定义书签。

3.1 设计基本原理............................................................... 错误!未定义书签。

3.2计数器设计.................................................................... 错误!未定义书签。

3.3 列驱动设计................................................................... 错误!未定义书签。

3.4 行驱动设计................................................................... 错误!未定义书签。

4.仿真图原理图及实物图........................................................... 错误!未定义书签。

4.1仿真图............................................................................ 错误!未定义书签。

4.2原理图............................................................................ 错误!未定义书签。

4.3实物图............................................................................ 错误!未定义书签。

5.程序........................................................................................... 错误!未定义书签。

6.实验调试................................................................................... 错误!未定义书签。

7.心得体会................................................................................... 错误!未定义书签。参考文献:.................................................................................. 错误!未定义书签。

程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity dianzgd is

port(clk0,clk1:in std_logic;

sel:out std_logic_vector(3 downto 0); line:out std_logic_vector(15 downto 0)); end dianzgd;

architecture rtl of dianzgd is

signal q:std_logic_vector(3 downto 0);

signal counter:std_logic_vector(3 downto 0);

begin

sel<=q;

p0:process(clk1)

begin

if (clk1'event and clk1='1') then

if (counter>"1111") then

counter<="0000";

else

counter<=counter+'1';

end if;

end if;

end process p0;

p1:process(clk0)

begin

if q<="0000";

相关文档
最新文档