PADS实例教程 (一)图形用户界面的使用

PADS实例教程 (一)图形用户界面的使用
PADS实例教程 (一)图形用户界面的使用

PADS2007 系列教程图形用户界面

第一节 - 图形用户界面

第一节 - 图形用户界面(GUI)

PADS Layout 的用户接口具有非常易于使用和有效的特点。PADS Layout 在满足专业用户需要的同时,还考虑到一些初次使用PCB 软件的用户需求。

教程的这节将将覆盖以下内容:

· 使用PADS Layout 进行交互操作

· 工作空间的使用

· 设置栅格(Grids)

· 使用取景(Pan)和缩放(Zoom)

· 面向目标(Object Oriented)的选取方式

使用PADS Layout 进行交互操作

PADS Layout 使用标准的Windows 风格的菜单(Menus)、字符感应(亦称上下

文相关的)(Context-sensitive) 的弹出菜单(Pop-up Menus) 、热键( 亦称快捷

键)(Shortcut keys)、工具条(Toolbars)和工具盒(Toolboxes)执行命令。

在整个教程中,从下拉菜单执行命令的使用格式是菜单/ 命令

(Menu/Command)。例如从文件菜单(File menu)选择打开(Open)命令,选择文件/打

开(File/Open)。

工具条(Toolbars)和工具盒(Toolboxes)

PADS Layout 使用Windows 标准的工具条(Toolbars)

尺寸标注工具盒(Automatic Dimensioning Toolboxes)

这些工具盒是一些图标(Icons)的集合,每个图标代表了有效的命令。工具条(Toolbars)放在菜单条的下面。你可以通过选择PADS Layout 工具条(Toolbars)中的

工具盒(Toolboxes)按钮,打开代表命令集的工具盒((Toolboxes),或者通过选择

Window 菜单工具条命令。在使用过程中,你可以使用这两种方式。

注意:你同时只能打开一个工具盒

(Toolboxes)

直接命令(Modeless Commands)和热键(Shortcut Keys)

直接命令(Modeless commands)亦称无模命令,热键(Shortcut keys)亦称快捷键,

允许你使用键盘输入命令以及设置选项。在本教程中你可以使用直接命令(Modeless commands),如查询命令,可以直接键入S,后面加上需要查找的目标。

标准的Windows 热键,如Alt-F 用于显示文件菜单(File menu)等命令,在PADS Layout 中同样是有效的。

撤消/恢复(Undo/Redo)

在正常操作期间,使用撤消(Undo)和恢复(Redo)能够在你执行错误的操作后,撤消和恢复你工作的内容。选择编辑/撤消(Edit/Undo)命令或撤消(Undo)图标,可以恢复你刚刚执行的一系列命令结果。选择编辑/恢复(Edit/Redo)命令或恢复

(Redo)图标,则可以再恢复刚刚撤消的命令。

取消(Canceling)命令和动作(Actions)

通过按键盘上的Esc 键,或者按鼠标的右键,并且从弹出菜单中选择取消(Cancel)命令,你可以取消当前的命令或命令序列。

工作空间(Workspace)的使用

PADS Layout 的工作空间、亦称工作区域(Work Area),为56 英吋X 56 英吋。工作区域的原点或坐标(0,0)点,是用一个大的白点表示。当你开始PADS Layout 或者打开一个新的设计文件时,原点将出现在窗口的中间,并以适当的比例显示。

为了移动原点,选择设置/设置原点(Setup/Set Origin),在工作空间的某处按一下鼠

标,这点将作为新的原点。

移动原点

选择菜单设置/设置原点(Setup/Set Orign),在工作区域内选择位置点击鼠标

左键,重新定位新的原点位置。

光标位置显示

当你在工作区域内移动光标,这时候它的位置相对原点的X 和Y 绝对坐标值将动态地显示在屏幕右下角的状态条(Status Bar)中。

1. 将光标放在原点,注意坐标0,0 将可以从状态条(Status Bar)中读出。

2. 在窗口内移动光标,注意代表光标位置的X、Y 坐标值是怎样变化的。

光标形式选择

通过菜单工具/选项(Tools/Options)下的Global 页面的Cursor-Style 的下拉框

来选择光标的显示形式,可以选择如下几种类型的光标形式:

设置栅格(Grids)

PADS Layout 具有两种类型的栅格(Grids),即工作栅格(Working Grids)和显示

栅格(Display Grid)。

工作栅格(Working Grids)

PADS Layout 在设计过程中使用四类工作栅格(Working Grids):

设计栅格(Design Grid) 定义布线时的栅格大小

过孔栅格(Via Grid) 定义建立过孔时的栅格大小

扇出栅格(Fanout Grid)定义扇出的栅格大小

铺铜栅格(Hatch Grid)定义铺铜的栅格大小

显示栅格(Display Grid)

这种点状的栅格用于设计的辅助。你可以设置显示栅格(Display Grid)与设计栅格匹配,或者为设计栅格的数倍大小。选择工具/选项(Tools/Options) ,并且选

择栅格(Grids)表,以便观察当前显示栅格设置的情况。为了关闭显示栅格(Display

Grid),设置显示栅格(Display Grid)等于0 即可。

栅格(Grid)练习

各个栅格的空间是可以通过使用工具(Tools)菜单下的选项(Options)命令,单独

地设置的,或者使用直接命令。在下面的练习中,你可以使用直接命令设置设计

和显示栅格。

1. 对于显示栅格(Display Grid),键入字符GD。这将显示一个直接命令对话框,并且有字符GD 显示在字符窗口内,字母不区分大小写。

2. 输入500,并且按回车(Enter)。

你可以直接输入GR500 并且按回车(Enter),设置设计栅格(Design Grid)。如果你不能看到栅格,试一下放大(Zooming in)或缩小(Zooming out) 。参见下一节“使

用取景(Pan)和缩放(Zoom)”。

注意:使用直接命令G 设置全局的栅格设置。输入G500 设置整个的设计栅

格(Design Grid)和过孔栅格(Via Grid)为500 个单位,根据你设置的目前单位,如:

mils 或mm 等。

在工具/选项(Tools/Options)中的控制栅格的其它方法同样有效。

设置测量的单位

你可以通过选择菜单工具/选项(Tools/Options)将测量的单位改变为英吋(Inches)、密尔(Mils)(缺省的设置)或者公制单位。设计单位(Design Units)的选择框

是在全局(Global)中。当前的设计单位设置为Mils。

另外,在PADS2007 中,我们可以通过无模命令快速地切换设计单位,目前

提供了三种切换设计单位的快捷命令:UM、UMM、UI(不区分字母大小写)。

他们分别代表如下意义:

UM:将设计单位设置为mils;

UMM:将设计单位设置为毫米;

UI:将设计单位设置为英寸。

使用取景(Pan)和缩放(Zoom)

可以有几种方法控制设计的显示和大小。在这个练习中使用鼠标(Mouse)。

对于两键鼠标的操作,选择缩放(Zoom)图标,可以开/关(Enables/Disables) 缩放(Zoom)方式。在缩放(Zoom)方式下,光标变为一个放大镜。鼠标左键用于放

大,点击右键缩小视图。

对于三键鼠标的操作,使用中间键缩放(Zoom)方式始终是高效的。

放大(Zooming in)和缩小(Zooming out)取决于在光标放在窗口的位置,以及拖动的方向。

在查看(View)菜单中使用取景(Pan)和缩放(Zoom)命令、使用数字键盘或使用窗口的滚动条同样是有效的。参考在线帮助(On-line Help)以便得到更多有关取景

(Pan)和缩放(Zoom)功能的详细资料。

PADS Layout 与 PADS Logic 取景(Pan)和缩放(Zoom)操作相似。可以参照PADS Logic 中的操作介绍。

打开以前保存的设计文件

为了更清楚地观察到视图内容的改变,首先打开一个设计文件。

1. 选择文件/打开(File/Open)图标。

因为在PADS Layout 中的任何操作,都可以被看作是新建立文件的一部分,

包括弹出菜单和栅格的设置等,一个对话框将出现,提示你是否要保存当前的设

计文件。在对话框中选择No。

2. 从打开(Open)对话框内,在\Samples 目录下,选择名字为preview.pcb 的文

件,然后选择打开(Open),将它调到PADS Layout 中。

缩放(Zoom)操作

注意:如果你使用三键鼠标,忽略第一步和第五步。中间键对应第二步和第三步的左键操作。

1. 在工具条中选择缩放(Zoom)方式图标。

2. 放大(Zoom in)。

a. 在你希望的调整视图大小的区域中心,按下并按住鼠标左键。

b. 拖动光标向上、或移动光标远离选择区域的中心。一个动态的矩形框

将跟随着鼠标移动。

c. 当矩形框代表了你希望观察的区域后,松开鼠标完成操作。

3. 缩小(Zoom out),重复第二步,但是拖动光标向下,一个固定的矩形框代表了当前的可视空间,而动态变化的矩形框代表了新的视图区域。

4. 使用缩放(Zoom)方式练习,可以调整视图区域的大小。为了重新看到原始的视图,使用图标或菜单查看/扩展(View/Extents)即可。

5. 按缩放(Zoom)方式图标,结束缩放(Zoom)方式。

使用两键鼠标取景(Panning)

为了使用两键鼠标取景(Pan),移动光标到你希望新建立的工作区域,不要使

用光标,按键盘上的Insert 键。

注意:使用Insert 键取景(pan)时,不必处于缩放(Zoom)方式下。

同时取景(Pan)和缩放(Zoom)

1. 在工具条中选择缩放(Zoom)方式图标。

2. 在新的视图区域的中心按鼠标。

· 按鼠标左键即可取景(Pan)和放大(Zoom in)。

· 按鼠标右键即可取景(Pan)和缩小(Zoom out)。

3. 按缩放(Zoom)图标,结束缩放(Zoom)方式。

使用三键鼠标取景(Panning)

为了使用三键鼠标取景(Pan),将光标放在新的视图区域的中心,按鼠标的中

间键。使用三键鼠标取景(Pan)时不必处于缩放(Zoom)方式下。

选择目标

选择任何目标,如元件(Component)、字符(Text)项目、布线(Route)、网络(Net) 或者其它任何设计中的目标,都可以通过简单地用鼠标左键放在光标上,并且按

一下鼠标即可。这种选择方式是一种面向目标的选择方式(Object Oriented Selection)。

1. 将光标放在一个元件外框上,并且按一下鼠标左键。当元件被选中后,它

将被高亮。

2. 将光标放在另一个目标上,如过孔(Via)、布线(Route)线段、或者另一个元件上,按鼠标左键。现在这个目标将被选中,而前一个目标将不再被选中。

3. 为了不选中所有现在已经被选中的目标,移动光标设计中没有目标的任何

地方,然后按一下鼠标左键,所有已经被选中的目标都变成不被选中。

多项选择

为了同时选择多个元件:

1. 选择第一个元件(Component)。

2. 同时将光标放在另一个元件(Component) 上添加选择另一个元件(Component),按住Ctrl 键的同时按下鼠标左键即可。

选择多个元件的另一个方法是定义一个选择区域。

1. 将光标放在一个将要选择区域的左上方。

2. 按下并按住鼠标左键。

3. 拖动光标直到所有元件都在选择矩形内。

4. 松开鼠标完成区域选择。

选择过滤器(Selection Filter)

在PCB 设计过程的许多阶段,你也许只希望选中某些特定的目标。例如在元

件布局期间,你希望选中的目标只限于元件(Components);在交互的布线期间,你

希望选中的目标只限于飞线(Connections)或导线(Routes)。

为了简化设计操作方法,PADS Layout 有一个选择过滤器(Selection Filter)。选

择过滤器(Selection Filter)允许你指定那些目标可以被选中。将一些项目从过滤器

中删除,将保证这些目标不会被选中。

设置和查看选择过滤器(Selection Filter):

1. 选择编辑/过滤器(Edit/Filter),将打开选择过滤器(Selection Filter)对话框。

目标以三种类型进行分类—设计项目(Design Items)、绘图项目(Drafting Items)和层

(Layers)。

2. 选择元件(Parts),不对元件选择。

注意:选择过滤器(Selection Filter)对话框,象许多其它的PADS Layout 对话

框一样,是直接方式的。当你执行其它操作时,在PADS Layout 中它依然可以是

可见的。这个对话框可以在屏幕上移动,如果妨碍了屏幕观察,可以将它最小化

或关闭。

3. 将光标放在一个元件(Components)外框上,并且按一下鼠标左键。这个元件(Components)并没有被选中。

4. 将光标放在另一个非元件的目标上并且选择它,另一个目标将被选中,但是元件(Components)不能被选中。

5. 通过在空白区域按一下鼠标左键,将不选中任何目标。

我们可以通过以上方式,方便地选择我们所需要的项目,然后通过鼠标拖动

的方式进行多选。也可以通过下方的Anything 和Nothing 按钮进行全选和全不选

操作。

选择过滤器快捷方式(Selection Filter Shortcuts)

如果在没有任何目标被选中状态下,按鼠标右键,一个弹出菜单(Pop-up Menu) 将出现,它将包含选择过滤器快捷方式(Selection Filter shortcuts)列表。选择其中一

个快捷方式(Shortcuts)将使选择过滤器(Selection Filter)更新为仅仅选择这一种目

标。

1. 在没有任何目标被选中、选择过滤器(Selection Filter)对话框打开的情况 下,按鼠标右键。弹出菜单(Pop-up Menu)将出现在光标按下的位置处。

2. 确认选择网络(Select Nets)快捷方式(Shortcut),并且注意选择过滤器 (Selection Filter)将被更新为仅仅可以选择网络。

3. 再按鼠标右键,然后确认选择任意(Select Anything)快捷方式(Shortcut)。选

择过滤器(Selection Filter)将被更新为可以选择任何目标。注意有些项目,如簇

(Clusters)、组合(Unions)、管脚对(Pin pair)、网络(Nets)和板子边框(board outline),

当使用选择任意(Select Anything)快捷方式(Shortcut)时并没有被选中。

4. 选择OK ,关闭选择过滤器(Selection Filter) 对话框。

选择同类型的所有目标

你可以通过鼠标右键快捷地选择同类项的所有目标:

1. 按快捷键Ctrl +B ,显示PCB 的全部内容。

2. 在不选择任何目标的情况,点击鼠标右键选择Select Components 。

3. 再次点击鼠标右键,选择Select All ,或者按快捷键Ctrl +A ,这样板上所

有的元件将被选中。

循环选择(Cycle Pick)

当你在一个工作区域按鼠标时,而目标处有多个目标密度很高,选择一个目

标也许要试许多次。为了减少尝试选择的次数,你可以接受第一个选择,然后循

环将那个位置处所有的目标依此被选中。

1. 通过无模命令SS U1.28 快速查找目标,将光标放在U1 的脚28 上,然后选择它。

2. 重复按键盘上的Tab 键,在管理脚28 处的各种可选目标将循环出现。当你希望的目标出现后停止选择。

自定义的GUI 图形用户界面

注意:只有PADS2007 及后续版本支持本功能。

在PADS2007 中,用户可以根据自己的喜好以及操作习惯,可以自定义工具栏,菜单栏,下拉菜单和快捷菜单,也可以使用自定义对话框,指定自定义键盘

和鼠标快捷键。用户也可以不需要使用自定义对话框,按下 Alt 键并拖动需要的

按钮,来重新安排工具栏按钮。所有自定义状态都会保存在当前的工作区域。也

允许用户改变工具栏,菜单,快捷键等的全部设置,因为重新启动软件时,自定

义的工作区域会自动导入。

在工作区域窗口上方的图标工具栏上点击鼠标右键,从弹出的右键菜单中选

择Customize… 选项。

在弹出的 Customize 对话框的 Commands 页面中选择需要功能图标到需要

的工具栏上,或者直接从工具栏图标上拖动移除。

输出/输入功能允许用户分享和交换工作区域或部分工作区域。XML 格式用

于储存自定义设置。

PADS2007 的文件锁定功能

注意:只有PADS2007 及后续版本支持本功能。

第一个打开设计文件的用户会成为这个文件的拥有者;这个设计文件对其他

用户变为读取和写入是锁定的。如果一个设计文件被一个用户打开,那么其他用

户尝试打开它时,系统都会以只读模式打开设计文件,并显示一个信息——文件

已经在使用,锁定不能被编辑。信息中包括设计文件的拥有者名称,以及拥有者

使用的PC 名称。如下图提示,点击按钮是(Y) ,将打开此文件;如果选择否(N) ,

将不打开此文件。

设计拥有者打开设计文件时,其他用户不能更新设计文件。“Save”命令是不能使用的,但是“Save As”命令可以使用。比如,用户可以保存被打开的设计文

件为另一个文件(这个文件就不是锁定的)。

pads2007之Logic应用教程.rar下载7顶一下

实验一:图形用户界面设计

实验一图形用户界面设计 一实验目的和要求 1)熟悉图形用户界面的设计原则 遵循用户友好原则、一致性原则、帮助和提示等原则设计用户界面。 2)利用一种设计工具完成图形化的用户界面设计 二实验内容与步骤 (一)实验内容 利用常用的设计工具(UI界面设计工具GUI Design Studio)完成一个通用图形用户界面设计,要遵循界面设计的一般原则(一致性、快捷方式、提供错误处理),注意颜色的使用,学会图标、按钮、屏幕布局、菜单和对话框的设计。 软件的界面如同人的脸一样,软件界面的好坏决定了用户对软件的第一印象。设计好的界面能够引导用户自己完成相应的操作,起到引导作用。设计合理的界面能给用户带来轻松愉悦的感受。一些专家指出:对于用户,人机界面就是系统本身。这充分说明了软件界面设计的重要性。请完成各自的系统用户界面的设计。 (二)实验步骤 1.设计多个对话框,完成填表输入界面的设计,合理使用图标、按钮、颜色; 2.设计不同形式的菜单,完成对不同对话框的调用; 3.提供简单的错误处理、联机帮助。 GUI Design Studio主界面

三界面示例1、登录界面 2、主界面

3、聊天界面 4、QQ空间界面

四实验总结 1.界面要具有一致性、常用操作要有快捷方式、提供简单的错误处理、对操作人员的重要操作要有信息反馈、操作可逆、设计良好的联机帮助、合理划分并高效地使用显示屏、保证信息显示方式与数据输入方式的协调一致。 2.颜色是一种有效的强化手段,同时具有美学价值。使用颜色时应注意如下几点:限制同时显示的颜色数;画面中活动对象的颜色应鲜明,而非活动对象应暗淡;尽量避免不相容的颜色放在一起,如黄与蓝,红与绿等,除非作对比时用;若用颜色表示某种信息或对象属性,要使用户理解这种表示,并尽量采用通用的表示规则。 3.图标是可视地表示实体信息的简洁、抽象的符号。图标设计是方寸艺术,需要在很小的范围内表现出图标的内涵。设计图标时应该着重考虑视觉冲击力,要使用简单的颜色,利用眼镜对色彩和网点的空间混合效果,做出精彩图标。 1)设计按钮应该具有交互性,应该有3到6种状态效果(点击时的状态、鼠标放在上面但未点击的状态、点击前鼠标未放在上面时的状态、点击后鼠标未放在上面时的状态、不能点击时的状态、独立自动变化的状态),按钮应具备简洁的图示效果,应能够让使用者产生功能上的关联反应。属于一个群组的按钮应该风格统一,功能差异大的按钮应该有所区别。 2)设计屏幕布局(Layout)时应该使各功能区重点突出,应遵循如下几条原则:平衡原则、预期原则、经济原则、顺序原则、规则化。 3)菜单在图形界面的应用程序中使用得非常普遍,是软件界面设计的一个重要组成方面,描述了一个软件的大致功能和风格。菜单中的选项在功能上与按钮相当,一般具有下列一种或几种类型的选项:命令项、菜单项和窗口项。菜单的结构一般有单一菜单、线状序列菜单、树状结构菜单、网状结构菜单等,其中树状结构菜单是最常见的结构。 设计菜单界面时应注意一般性原则:功能组织菜单,合理分类,并力求简短,前后一致;合理组织菜单界面的结构与层次;按一定的规则对菜单项进行排序;菜单选项的标题要力求文字简短、含义明确,并且最好以关键词开始;常用选项要设置快捷键;充分利用菜单选项的使能与禁止、可见与隐藏属性;使用弹出式菜单。 4)在处理大量相关数据的场合下,需要输入一系列的数据,这时填表输入界面是最理想的数据输入界面。在设计填表输入界面时应遵循的原则:一致性;有含义的表格标题;使用易于理解的指导性说明文字;栏目按逻辑分组排序;表格的组织结构和用户任务相一致;光标移动方便;出错提示;提供帮助;表格显示应美观、清楚,避免过分拥挤。

实验三图形用户界面设计(汽院含答案)

实验三图形用户界面设计 实验目的 1.掌握Java语言中GUI编程的基本方法 2.掌握Java语言中AWT组件的基本用法 3.掌握Java语言中Swing组件的基本用法 实验导读 1.通过图形用户界面(GUI:Graphics User Interface),用户和程序之间可以方便地进行 交互。 AWT(Abstract Windowing Toolkit),中文译为抽象窗口工具包,是Java提供的用来建立和设置Java的图形用户界面的基本工具。AWT由Java中的包提供,里面包含了许多可用来建立与平台无关的图形用户界面(GUI)的类,这些类又被称为组件(components)。 Swing是一个用于开发Java应用程序用户界面的开发工具包。它以抽象窗口工具包(AWT)为基础使跨平台应用程序可以使用任何可插拔的外观风格。Swing开发人员只用很少的代码就可以利用Swing丰富、灵活的功能和模块化组件来创建优雅的用户界面。 JDK写程序所有功能都是靠虚拟机去操作本地操作系统。比如window下,就是JDK 用windows API实现功能。而awt包中很多组件是组件自身去调用本地操作系统代码swing包中的组件采用的是调用本地虚拟机方法,由虚拟机再调用本地操作系统代码。意思就是中间多了一层,这样就加强了swing包的移植性,与本地关系不那强了。 图AWT常用组件继承关系图 Container为容器,是一个特殊的组件,该组件中可以通过add方法添加其他组件进来。 2.布局,容器中的组件的排放方式。常见的布局管理器: FlowLayout(流式布局管理器):从左到右的顺序排列。Panel默认的布局管理器。 BorderLayout(边界布局管理器):东,南,西,北,中。Frame默认的布局管理器。 GridLayout(网格布局管理器):规则的矩阵

影像、dcm4chePACS基本操作说明

PACS免费开源系统使用基本说明 dcm4chePACS是宁净(嘟嘟熊)为我们提供的PACS服务器,是INTERNET网上的一个开源项目,是一个多平台、开源、免费、企业级的PACS服务器,支持DICOM及HL7协议,数据库使用的是开源数据库MySQL。可以使用dcm4chePACS轻松管理上T级别的数据。(1TB=1000GB=1000,000MB=1000,000,000KB)。还可以建立磁盘阵列来存储你的数据。当一个存储设备上的空间使用完毕后,dcm4chePACS可以自动转到另外的存储设备上。当然,如果单台计算机的计算资源有效,可以使用多台计算机来分摊负荷。如:一台用于数据库管理,一台用于服务器。甚至,你可以使用计算机集群(Cluster)来进行管理。更多的信息,请访问官方网站:https://www.360docs.net/doc/cd14176268.html,/,在这里我也只是简单的介绍一下它的基本应用设置,我也在使用中,深奥的地方我也没有弄清楚。 1、首先,释放dcm4chePACS到大的硬盘分区, 2、打开服务器,同时出现运行窗口,此窗口不要关闭,

出现关闭对话框

3、dcm4chePACS使用的是WEB的管理方式,服务器运行后进入IE浏览地址进行系统设置,在IE浏览地址内输入地址:http://localhost:8080/dcm4chee-web/,用户名admin,密码admin, 4、进入PACS服务器管理

5、点击AE Management,进入终端工作站设置,dcm4chePACS的默认AE Title:DCM4CHEE;Hostname:为工作站的IP段的地址,将服务器及终端工作站设置到一个IP 段(我们设置的是192.168.20.**的IP段),Port:11112 运行服务器后设置AE Title,Hostname,Port,我们所设置的AE Title,Hostname,Port 为efilm或其他终端工作站的AE Title,Hostname,Port,这样可以自由索取图像。 也可以利用PACS手动传输图像。手动传输要选中患者资料框,然后选择终端工作站 AE地址,再点击传输按钮,就可将图像传输至终端工作站。

图形用户界面的设计课案

人机交互基础教程 实验报告 实验题目:图形用户界面的设计 专业计算机科学与技术 学生姓名 班级学号 教师 指导单位计算机软件学院 日期

教师 评语教师签名: 年月日 成绩评定 备注

一、实验目的 (1)熟悉图形用户界面的设计原则 (2)利用一种设计工具完成图形化的用户界面设计 二、预备知识 图形用户界面又称为WIMP界面,由窗口(windows)、图标(icons)、菜单(menu)、指点设备(pointing device)四位一体,形成桌面(desktop) ,如图所示。 WIMP界面 用 户 手 眼 击键/指点 窗口、图标 菜单、文本 应用例程 图形用户界面是当前用户界面的主流,广泛应用于各档台式微机和图形工作站。图形用户界面的共同特点是以窗口管理系统为核心,使用键盘和鼠标器作为输入设备。窗口管理系统除了基于可重叠多窗口管理技术外,广泛采用的另一核心技术是事件驱动(event-driven)技术。 WIMP界面可看作是第二代人机界面,是基于图形方式的人机界面。在WIMP界面中,人被称为用户,人机通过对话进行工作。用户只能使用手这一种交互通道输入信息,通过视觉通道获取信息。在WIMP界面中,界面的输出可以为静态或动态的二维图形或图像等信息。

这种方式能同时输出不同种类的信息,用户也可以在几个工作环境中切换而不丢失几个工作之间的联系,通过菜单可以执行控制型和对话型任务。由于引入了图标、按钮和滚动条技术,大大减少键盘输入,提高了交互效率。基于鼠标和图形用户界面的交互技术极大地推动了计算机技术的普及。 (1)图形用户界面的三个重要思想 1)桌面隐喻(desktop metaphor) 指在用户界面中用人们熟悉的桌面上的图例清楚地表示计算机可以处理的能力。隐喻的表现方法:静态图标、动画、视频2)所见即所得(What You See Is What You Get,WYSIWYG) 显示的用户交互行为与应用程序最终产生的结果是一致的。 3)直接操纵(direct manipulation) 直接操纵是指可以把操作的对象、属性、关系显式地表示出来,用光笔、鼠标、触摸屏或数据手套等指点设备直接从屏幕上获取形象化命令与数据的过程。直接操纵的对象是命令、数据或是对数据的某种操作。 (2)设计图形用户界面的原则 1) 一般性原则:界面要具有一致性、常用操作要有快捷方式、提供简单的错误处理、对操作人员的重要操作要有信息反馈、操作可逆、设计良好的联机帮助、合理划分并高效地使用显示屏、保证信息显示方式与数据输入方式的协调一致 2) 颜色的使用:颜色是一种有效的强化手段,同时具有美学价

java实验报告实验六Java图形用户界面

信息工程学院 Java程序设计实习报告 JAVA图形用户界面 实验六Java图形用户界面 1.实验目的 (1)掌握图形用户界面基本组件。 (2)了解如何使用布局管理器对组件进行管理。 (3)掌握Java事件处理机制。 2.实验内容 实验题 1 编写一个模拟计算器的程序,使用面板与网格布局,添加一个文本框,10个数字按钮(0-9),4个加减乘除按钮,一个等号按钮,一个清除按钮,要求将计算公式与结果显示在文本框中。 运行结果: 实验报告的内容与格式按任课教师的要求书写。

加法: 主要代码: private void initComponents() { jButton1 = new javax、swing、JButton(); jButton2 = new javax、swing、JButton(); jButton3 = new javax、swing、JButton(); jButton4 = new javax、swing、JButton(); jButton5 = new javax、swing、JButton(); jButton6 = new javax、swing、JButton(); jButton7 = new javax、swing、JButton(); jButton8 = new javax、swing、JButton(); jButton9 = new javax、swing、JButton(); jButton10 = new javax、swing、JButton(); jButton11 = new javax、swing、JButton(); jButton12 = new javax、swing、JButton(); jButton13 = new javax、swing、JButton(); jButton14 = new javax、swing、JButton(); jButton15 = new javax、swing、JButton(); jTextField1 = new javax、swing、JTextField(); setStub(null); jButton1、setText("3"); jButton1、addActionListener(new java、awt、event、

java图形化界面练习及答案

作业标题:第四次练习题(总分:得分:分)一、单选题(共有题目15题,共计分) 1. 下列属于容器的组件有 A. ) JButton B. JPane C. Canvas D. JTextArea 学生的答案: B 标准答案: B 该题分数: 学生得分: 分 2. 】 下列方法中,不属于WindowListener接口的是A. windowOpened()

B. windowClosed() C. windowActivated() D. > windowAdapter 学生的答案: D 标准答案: D 该题分数: 学生得分: 分 3. 下列不属于Swing中组件的是( )。 A. JPanel B. JTable C. 《 Menu D. JFrame

学生的答案: C 标准答案: C 该题分数: 学生得分: 分 4. 以下Swing组件中哪一个不是顶层容器组件( )。 A. 框架 B. - 对话框 C. 面板 D. 小程序 学生的答案: C 标准答案: A 该题分数: 学生得分: 0分 5. 对于Swing基本控件单选框,若要使两个单选框对象之间具有相互排斥的关系,需要( )。 A. 》

创建两个单选框对象时定义相同的对象名 B. 将两个单选框对象放在同一个面板上 C. 定义一个ButtonGroup对象group,将两个单选框对象加到group中D. 让两个单选框排成一行或一列 学生的答案: C 标准答案: C 该题分数: 学生得分: 分 6. . 容器被重新设置大小后,( )布局管理器的容器中的组件大小不随容器大小的变化而改变。 A. CardLayout B. FlowLayout C. BorderLayout ?

java简单图形用户界面代码

package dsr; import java.awt.*; import java.awt.event.*; import javax.swing.*; import java.io.*; import https://www.360docs.net/doc/cd14176268.html,.Socket; public class Login extends JFrame implements ActionListener{ //定义组件 JPanel northPanel,southPanel,centerPanel,centerPanel1,centerPanel11,centerPanel12; JButton loginBtn,registerBtn,cancalBtn; JLabel userLbl,passwordLbl,titleLbl; JTextField userTxt; JPasswordField passwordPwd; static String name; public Login () { super("用户登录"); northPanel=new JPanel(); southPanel=new JPanel(); centerPanel1=new JPanel(); centerPanel11=new JPanel(); centerPanel12=new JPanel(); //标题 titleLbl=new JLabel("欢迎进入考试系统"); titleLbl.setFont(new Font("隶书",Font.BOLD,24)); northPanel.add(titleLbl); this.add(northPanel,BorderLayout.NORTH); //中间部分 centerPanel1.setLayout(new BorderLayout()); userLbl=new JLabel("用户名"); userTxt=new JTextField(12); centerPanel11.add(userLbl); centerPanel11.add(userTxt); centerPanel1.add(centerPanel11,BorderLayout.NORTH); passwordLbl=new JLabel("密码"); passwordPwd=new JPasswordField(12); centerPanel12.add(passwordLbl); centerPanel12.add(passwordPwd);

Java基础实验报告-图形用户界面设计

南京工程学院 实验报告 课程名称 JAVA基础 实验项目名称图形用户界面设计 实验学生班级 实验学生姓名 学号 同组学生姓名 实验时间 实验地点 实验成绩评定 指导教师签字年月日

一、实验目的和要求 1.掌握Java Swing组建的使用方法,包括窗口、框架、对话框、面板、文本编辑框、按钮、组合框等多种布局方式,掌握窗口菜单和快捷菜单设计方式。 2.理解委托时间处理模型,掌握不同组件、不同事件的事件处理方法,设计出能够响应事件的Java图形用户界面。 3.熟悉在组件上绘图的方法。 二、实验题目 用表格存储并显示个人所得税税率表,给定一个月收入值,计算应缴的个人所得税。 三、实验方法与步骤(需求分析、算法设计思路、流程图等) 算法设计思路:本次实验题目为计算个人所得税,所以本人从网上找到了国家最新的税收政策,以下为截图:

因此,我设计了以下核心算法 public void actionPerformed(ActionEvent e) { if(e.getSource()==button_b) { double wage=Double.parseDouble((String)text_wage.getText()); double tax = 0; if(wage<=3500) tax=0; if(wage>3500&&wage<=5000) tax=(wage-3500)*0.03; if(wage>5000&&wage<=8000) tax=(wage-3500)*0.1-105; if(wage>8000&&wage<=12500) tax=(wage-3500)*0.2-555; if(wage>12500&&wage<=38500)

DC1040操作手册

DC1000系列通用控制器 中文操作手册 亚司艾国际贸易(上海)有限公司地址:上海市闵行区中春路6111号713室电话:(021)5108-6599传真:(021)

**使用本手册前,请检查量程,输入、输出是否符合您的要求。 1. 面板说明 显示 PV :过程值(Process Value), 四位显示(红色) SP :设定值(Set Point), 四位显示(绿色) LED OUT1:第一路输出(Output 1), 绿色灯 OUT2:第二路输出(Output 2), 绿色灯 AT :自整定, 黄色灯 PRO :程序运行中, 黄色灯 AL1 :第一路报警(Alarm 1), 红色灯 AL2 : 第二路报警(Alarm 2), 红色灯 MAN :手动 控制, 黄色灯 按键 SET :模式 & 设定键(切换模式和写入设定值) :移位键 :减少键 :增加键 A/M :自动/手动切换键 2. 自整定功能 将 AT 设置为‘YES’, 即启动自整定功能。 自整定完成后,PID 参数将被自动设定。 ATVL = 自整定偏移量, 由 SP 值推导出来。 (它在自整顶时,可防止整荡超过设定点) SP-ATVL = 自整定设定点值, ATVL = 自整定偏移量 例如:SP = 200°C, ATVL = 5, 则自整定点是 195°C * ATVL 务必自整定点在程序类型模式中 (195°C)自整定失败 2.4.1 ATVL 值太大 如果不能确定, 则设定 ATVL = 0 2.4.2 系统时间太长. 单独设定 PID 参数.

3. 故障信息 注意: 当有 “ * ” 标记的故障发生时, 控制器需进行维修。 4. 操作流程 (1):按“SET ”键。 (2):按“SET ”键持续5 秒 (3):当LCK=‘1111’时,按“SET ”键和‘ 5 秒。 (4):当LCK=‘0000’时,按“SET ”键和‘ ’键持续5 秒。

图形用户界面概述

6.1 图形用户界面概述 6.1.1 基本概念 (1)GUI—图形用户界面(Graphics User Interface)使用图形的方式借助菜单、按钮等标准界面元素和鼠标操作,帮助用户和计算机之间进行交互。 (2)AWT——抽象窗口工具集(abstract window toolkit) Java中用来生成图形用户界面的类库是java.awt 包,它Java中基本包中最大的一个,定义了所有GUI 组件类,以及其它用于构造图形界面的类。Sun公司在JDK1.0中就提供了AWT。 为了实现跨平台特性,AWT类库中的各种操作被定义成在一个并不存在的“抽象窗口”中进行。

AWT组件的类层次?Component 组件是所有AWT组件的基类,提供了基本的显示和事件处理特征。

(3)Swing GUI组件 ◆在最新的Java2中,javax.swing包被列入Java的基础类库JFC,其中定义的Swing GUI组件相对于 java.awt包的各种GUI组件增加了许多功能。 ◆Swing组件类 Swing包含250多个类(有些是组件,有些是支持类,组件的名字都以J 开头),Swing提供了40多个 组件,是AWT的4倍,用轻量组件(没有本地对等组件)代替了AWT的重量组件(与本地对等组件相关联)。重量级组件是指组件的属性跟操作系统有关,轻量级组件跟操作系统无关,轻量级组件在不同平台下显示效果一样。

Swing组件简介(续) Swing采用了一种MVC的设计范式,即“模型-视图-控制器”(Model-View-Controller): ◆模型(Model)——用于存储定义该组件的数据; ◆视图(View)——用模型中的数据生成该组件的可视化表示(即显示出该组件); ◆控制器(Controller)——响应用户对该组件的交互操作。 MVC是一种先进的组件设计模式。

实验6 图形用户界面设计

实验6. 图形用户界面设计 一、 实验目的 1. 掌握图形对象属性的基本操作; 2. 掌握菜单及对话框设计、建立控件对象的方法。 二、 实验环境 1. 计算机 2. matlab7.1 三、 实验说明 1. 正确操作,认真观察; 2. 实验学时:2学时; 3. 学会使用help ; 4. 保存整理实验结果,提交实验报告。 四、 实验内容 1.设计如教材P374 图1所示的菜单,并在此基础上增加选项:可以改变曲线的颜色,可以改变窗口背景颜色。 2.(选做)采用图形用户界面,从键盘输入参数a 、b 、n 的值,考察参数对极坐标曲线)cos(θρn b a +=的影响。 五、 实验程序及结果 1. screen=get(0,'ScreenSize'); W=screen(3);H=screen(4); figure('Color','w','Position',[0.2*H,0.2*H,0.5*W,0.3*H],... 'Name','菜单设计实验','NumberTitle','off','MenuBar','none'); hplot=uimenu(gcf,'Label','&Plot'); %定义plot 菜单项 uimenu(hplot,'Label','Sine Wave','Call',... ['t=-pi:pi/20:pi;','h0=plot(t,sin(t));',...

'set(hlr,''Enable'',''on'');',... 'set(hlg,''Enable'',''on'');',... 'set(hlb,''Enable'',''on'');']); uimenu(hplot,'Label','Cosine Wave','Call',... ['t=-pi:pi/20:pi;','h0=plot(t,cos(t));',... 'set(hlr,''Enable'',''on'');',... 'set(hlg,''Enable'',''on'');',... 'set(hlb,''Enable'',''on'');']); uimenu(hplot,'Label','&Exit','Call','close(gcf)'); hc=uimenu(gcf,'Label','&Color'); %定义Color菜单项 hw=uimenu(hc,'Label','&Window Color'); uimenu(hw,'Label','&Red','Call','set(gcf,''Color'',''r'');'); uimenu(hw,'Label','&Green','Call','set(gcf,''Color'',''g'');'); uimenu(hw,'Label','&Blue','Call','set(gcf,''Color'',''b'');'); hl=uimenu(hc,'Label','&Line Color','Separator','on'); hlr=uimenu(hl,'Label','&Red','Call',... 'set(h0,''Color'',''r'');','Enable','off'); hlg=uimenu(hl,'Label','&Green','Call',... 'set(h0,''Color'',''g'');','Enable','off'); hlb=uimenu(hl,'Label','&Blue','Call',... 'set(h0,''Color'',''b'');','Enable','off'); 2. hf=figure('menubar','none','name','图形演示',... 'numbertitle','off'); %定义图形窗口 set(gcf,'unit','normalized','posi',[0.2,0.3,0.55,0.36]); axes('posi',[0.05,0.15,0.55,0.7]); %定义坐标轴 uicontrol(gcf,'style','text','unit','normalized',... %定义静态文本'posi',[0.63,0.85,0.1,0.1],'string','参数 a',... 'horizontal','center'); uicontrol(gcf,'style','text','unit','normalized',...

Java图形用户界面实验报告

西安邮电大学 (计算机学院) 课内实验报告 实验名称:图形用户界面 专业名称:计算机科学与技术 班级:计科1405班 学生姓名:高宏伟 学号:04141152 指导教师:刘霞林 实验日期:一、实验目的 了解图形用户界面基本组件窗口、按钮、文本框、选择框、滚动条等的使用方法,了解如何使用布局管理器对组件进行管理,以及如何使用Java 的事件处理机制。 二、实验要求 1. 掌握使用布局管理器对组件进行管理的方法。

2. 理解Java 的事件处理机制,掌握为不同组件编写事件处理程序的方法。 3. 掌握编写独立运行的窗口界面的方法。 4. 掌握组件的使用方法。 5. 了解对话框的使用方法。 三、实验内容 (一)算术测试。 实验要求: 编写一个算术测试小软件,用来训练小学生的算术能力。程序由3个类组成,其中Teacher类对象负责给出算术题目,并判断回答者的答案是否正确; ComputerFrame类对象负责为算术题目提供视图,比如用户可以通过 ComputerFrame类对象提供的GUI界面看到题目,并通过该GUI界面给出题目的答 案;MainClass是软件的主类。 程序模板: public class Teacher { int numberOne,numberTwo; String operator=""; boolean right; public int giveNumberOne(int n) { numberOne=(int)()*n)+1; return numberOne; } public int giveNumberT wo(int n) { numberTwo=(int)()*n)+1; return numberTwo; } public String giveOperator() { double d=(); if(d>= operator="+"; else operator="-"; return operator; } public boolean getRight(int answer) { if("+")) { if(answer==numberOne+numberTwo) right=true; else right=false; }

DC逻辑综合使用流程

DC逻辑综合使用流程 vlsi设计中心806凌金 启动软件:: 1、启动软件 新开一个terminal窗口,输入命令:design_vision,回车即可开启图形界面,进入图形界面后可通过菜单、对话框等来实现DC的功能,相关的命令操作同样可以使用。

2、指定相关库文件 指定相关库文件及及路径 “File > Setup”打开下图所示对话框 Search_path指定了搜索路径,点击右侧按钮进入如图所示对话框点击add添加库文件所在路径。

Target_library为逻辑综合的目标库,由代工厂提供的* .db 文件,用相似的方法添加所需库文件。 Link_library是链接库,一般和目标库相同 注:“*”这一项要保留,否则链接时会出错,该项指示DC在链接时首先搜索内存中的内容。

Symble_library为指定的符号库,一般为*.sdb 文件,与单元的库文件对应。 3、设计读入 “File > Read”读入设计文件,用此方式读入时在此处不用指定顶层文件,但读入后应马上指明设计的顶层名。

通过左侧的窗口可以观察设计的层次 4、链接 “File > Link Design”在弹出对话框中点击“ok”即可完成链接。 其执行的相关信息可从命令框中可查看

5、实例唯一化 当设计中有某个子模块被多次调用时就需要进行实例唯一化,实例唯一化就是将同一个子模块的多个实例生成为多个不同的子设计的过程。之所以要进行实例唯一化是因为DC在逻辑综合时可能使用不同的电路形式来实现同一个子模块的不同实例,从而这些实例在DC看来是不同的设计(尽管其调用的子模块代码和功能完全相同)。 实现方法:“Hierarchy > Uniquify > Hierarchy ”在弹出对话框中默认点击“ok”即可,命令框中将显示“design_vision-t> uniquify”。 若选中“instances to be renamed even if unique or assigned don’t_touch”则会强制将所调用的模块从新命名,此时命令框中显示“design_vision-t> uniquify –force”。

实验五 图形用户界面

实验五图形用户界面 一、实验目的 1.了解图形用户界面的概念; 2.掌握标签和按钮的使用; 3.掌握文本框和文本区的使用; 4.掌握对话框的定义与使用; 5.掌握Java的事件处理机制; 二、实验要求 1.掌握在容器中添加组件的方法,掌握使用布局管理器对组件进行管 理的方法。 2.理解Ja va 的事件处理机制,掌握为不同组件编写事件处理程序的 方法。 3.熟练使用常用组件编写独立运行的窗口界面。 4.熟练使用图形类Gr a ph ic s。 三、实验内容 (一)建立独立运行的窗口界面并使用匿名类 最常使用的包含组件的容器是窗口,在Ja va 中窗口由F r am e 类生成。编写SY4_1.ja v a程序文件,程序功能:创建一个具有关闭功能的空白窗口。源代码如下: import java.awt.*; import java.awt.event.*; public class SY4_1{ SY4_1(){ Frame f=new Frame("初始窗口"); f.setSize(350,200); f.setVisible(true); //为窗口添加窗口事件适配器 f.addWindowListener(new WindowAdapter() { //关闭窗口事件的方法 public void windowClosing(WindowEvent e){ System.exit(0); } }); } public static void main(String[] args) { new SY4_1(); System.out.println(args[0]+" "+args[1]); } }编译并运行程序

dc综合操作流程-设置流程

dc综合操作流程_设置流程 总流程 1:库的设置 2:设计的读入 3:设置环境属性 (1)set_operating_conditions (2)set_wire_load_model和set_wire_load_mode (3)set load (4)set_drive或者set_driving_cell 4:设计规则约束 (1)set_max_transtion (2)set_max_capacitance ; (3)set_max_fanout 5:优化约束 (1)create_clock (2)set_clock_uncertainty (3)set_clock_latency (4)set_input_delay (5)set_output_delay (6)set_false_path (7)set_multicycle_path(8)set_max_delay和set_min_delay (9)set_max_area 7:一些编译命令及DC的输出格式 注意: 1:在前端设计中一般不做hold_time的约束,hold_time的约束可以在后端修复~~~

总流程: ; 1:对库进行基本设置,如下:设置完成后应该查看.里面库的设置 和软件application setup处的设置是否一样~DC的初始化文件.需要用ls –a显示,命令:more .查看文件内容~

2:读入设计,两种方法:read和analyze+elaborate Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下;Elaborate则在产生的中间文件中生成verilog的模块或者VHDL 的实体缺省情况下,elaborate读取的是work目录中的文件

实验五图形用户界面

实验五图形用户界面 【开发语言及实现平台或实验环境】 Windows2000 或XP,JDK1.6 【实验目的】 1.了解图形用户界面基本组件窗口、按钮、文本框、选择框、滚动条等的使用方法, 2.了解如何使用布局管理器对组件进行管理,以及如何使用Java 的事件处理机制。【实验要求】 1. 掌握在Applet 容器中添加组件的方法,掌握使用布局管理器对组件进行管理的方 法。 2. 理解Java 的事件处理机制,掌握为不同组件编写事件处理程序的方法。 3. 掌握编写独立运行的窗口界面的方法。 4. 了解Java Swing 组件的使用方法。 5. 了解对话框的使用方法。 【实验内容】 一.创建图形用户界面 图形用户界面(Graphic User Interface ,简称GUI)是为方便用户使用设计的窗口界面,在图形用户界面中用户可以看到什么就操作什么,取代了在字符方式下知道是什么后才能操作什么的方式。组件(Component)是构成GUI 的基本要素,通过对不同事件的响应来完成和用户的交互或组件之间的交互。组件一般作为一个对象放置在容器(Container)内,容器是能容纳和排列组件的对象,如Applet、Panel(面板)、Frame(窗口)等。通过容器的add 方法把组件加入到容器中。 1.在Applet 中添加标签、按钮并使用网格布局 (1)程序功能:在Applet 容器中添加组件标签、按钮,并使用网格布局管理器排列组件在容器中的位置。 (2)编写LX5_1.java 程序文件,源代码如下。 import java.awt.*; import java.applet.Applet; public class LX5_1 extends Applet { Label l1; Button b1, b2, b3, b4, b5, b6; public void init() { setLayout(new GridLayout(3,3)); // 设置网格布局(3 行3 列共9 个网格) l1=new Label("标签1"); b1 = new Button("按钮1"); b2 = new Button("按钮2"); b3 = new Button("按钮3"); b4 = new Button("按钮4"); add(l1); add(b1); add(b2); add(b3);

DC使用全书(Design Compiler)

DC学习----第一章基本概念 作者:未知时间:2010-08-15 15:02:50 来自:网络转载 1.1 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: 1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。 下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。 例1-1(一个DC启动文件): search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” } search_path= search_path + { “~/risc32/synthesis/libraries” } target_library={ tcb773stc.db } synthetic_library={dw_foundation.sldb} link_library = { “*”, dw_foundation.sldb, tcb773stc.db } symbol_library = { tcb773s.sdb } synlib_wait_for_design_license = {"DesignWare-Foundation"} alias rt “report_timing” designer= XXXXX company= “ASIC Lab, Fudan Univ.” search_path指定了综合工具的搜索路径。 target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。 synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。 link_library是链接库,它是DC在解释综合后网表时用来参考的库。一般情况下,它和目标库相同;当使用综合库时,需要将该综合库加入链接库列表中。 symbol_library为指定的符号库。 synlib_wait_for_design_license用来获得DesignWare-Foudation的许可(license)。 alias语句与UNIX相似,它定义了命令的简称。 最后的designer和company项则表明了设计者和所在公司。 另外,在启动文件中用符号“/*” 和“*/” 进行注释。 1.2 设计实体 在DC中,总共有8种设计实体: λ设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层的子设计。 单元(Cell):设计中包含的子设计的实例。λ λ参考(Reference):单元的参考对象,即单元是参考的实例。 端口(Port):设计的基本输入输出口。λ λ管脚(Pin):单元的输入输出口。 连线(Net):端口间及管脚间的互连信号。λ λ时钟(Clock):作为时钟信号源的管脚或端口。

DC示例练习笔记

DC 示例练习笔记 1、示例准备 1)DC软件; 2)试验材料准备,构造risc_design文件夹 3)在Verilog文件夹下增加count4.v,count_tb.v源码文件 4)该文件夹复制到虚拟机中 2、示例练习 1)设置库文件 在自己的工作的文件夹下,使用design vision &在后台打开DC图形界面,file->setup 设置link library,target library,symbol library如图,这个库文件在安装目录 dc2016/library/syn文件夹下,复制到自己新建的文件下,在此设置的时候选择即可。

2)使用菜单file->read 读入count4.v count_tb.v文件,查看log打印文件如下read_file -format verilog {/usr/work/risc_design/source/verilog/count4.v} Loading db file '/usr/work/risc_design/libraries/tc6a_cbacore.db' Loading db file '/usr/synopsys/dc2016/libraries/syn/gtech.db' Loading db file '/usr/synopsys/dc2016/libraries/syn/standard.sldb' Loading link library 'cba_core' Loading link library 'gtech' Loading verilog file '/usr/work/risc_design/source/verilog/count4.v' Detecting input file type automatically (-rtl or -netlist). Reading with Presto HDL Compiler (equivalent to -rtl option). Running PRESTO HDLC Warning: Can't read link_library file 'your_library.db'. (UID-3) Compiling source file /usr/work/risc_design/source/verilog/count4.v Inferred memory devices in process in routine count4 line 14 in file '/usr/work/risc_design/source/verilog/count4.v'. =================================================== ============================ | Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | =================================================== ============================ | q_reg | Flip-flop | 4 | Y | N | N | N | N | N | N | =================================================== ============================ Presto compilation completed successfully. Current design is now '/usr/work/risc_design/source/verilog/count4.db:count4' Loaded 1 design. Current design is 'count4'. design_vision> Current design is 'count4'.

相关文档
最新文档