时序逻辑电路在实际中的应用

时序逻辑电路在实际中的应用
时序逻辑电路在实际中的应用

时序逻辑电路在实际中的应用

时序逻辑电路是一种重要的数字逻辑电路,其特点是电路任何一个时刻的输出状态不仅取决于当时的输入信号,而且与电路的原状态有关,具有记忆功能。构成组合逻辑电路的基本单元是逻辑门,而构成时序逻辑电路的基本单元是触发器。时序逻辑电路在实际中的应用很广泛,数字钟、交通灯、计算机、电梯的控制盘、门铃和防盗报警系统中都能见到。主要介绍典型的时序逻辑部件:集成计数器的识别与应用,集成寄存器的识别与应用;时序逻辑电路的分析和设计。

计数器在计算机及各种数字仪表中应用广泛,具有记忆输入脉冲个数的功能,还可以实现分频、定时等。计数器种类繁多,按技术体制可分为二进制计数器和N进制计数器;按增减趋势可分为加计数器和减计数器;按技术脉冲引入方式可分为同步计数器和异步计数器。同步计数器的特点是构成计数器的所有触发器共用同一个时钟脉冲,触发器的状态同时更新,计数速度快;而异步计数的特点是构成计数器的触发器不共用同一个时钟脉冲,所有触发器更新状态的时刻不一致,计数速度相对较慢。在实际应用中,计数器是以集成电路形式存在的,主要有集成二进制计数器、集成十进制计数器两大类,其他进制计数器可由它们通过外电路设计来实现。在每一大类计数器中,又以同步与异步、加计数与可逆计数来细分。

寄存器具有接收数码、存放或传递数码的功能,由触发器和逻辑门组成。其中,触发器用来存放二进制数,逻辑门用来控制二进制数的接收、传送和输出。由于一个触发器只能存放1位二进制数,因此,存放n位二进制数的n位寄存器,需要n个触发器来组成。寄存器有数码寄存器和移位寄存器2种。输入输出方式有并入-并出、并入-串出、串入-并出、串入-串出4种。当寄存器的每一位数码由一个时钟脉冲控制同时接收或输出时,称为并入或并出。而每个时钟脉冲只控制寄存器按顺序逐位移入或移出数码时,称为串入或串出。移位寄存器除了具有存储数码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的数码能在时钟脉冲作用下依次左移或右移。因此,移位寄存器不仅可以用来寄存数码,而且可以用来实现数码的串行-并行转换。

时序逻辑电路的分析实际上是一个读图、识图的过程,就是根据给定的时序逻辑电路,通过分析其状态和输出信号在输入变量和时钟作用下的转换规律,理解其逻辑功能和工作特性。时序逻辑电路的设计是时序逻辑电路分析的逆过程,就是根据给定的逻辑问题,设计出满足要求的时序逻辑电路。设计时序逻辑电路的任务就是根据给定的逻辑问题,设计出满足要求的时序逻辑电路。在实际应用中,常用集成触发器和门电路配合来设计时序逻辑电路。通常,电路设计最简的标准是:所用的触发器和门电路的数量以及门的输入端数目尽可能少。

1. 时序逻辑电路分析的一般步骤

时序逻辑电路分析的一般步骤可归纳为:写方程式、求状态方程、进行计算、画状态转换图(或状态转换表)、确定电路的逻辑功能等。

1)写方程式

仔细观察、分析时序电路,然后再逐一写出以下3个方程。

①时钟方程:各个触发器时钟信号的逻辑表达式。

②输出方程:时序电路各个输出信号的逻辑表达式。

③驱动方程:各个触发器输入端信号的逻辑表达式。

2)求状态方程

把驱动方程代入相应触发器的特性方程,即可求出时序电路的状态方程。

3)进行计算

把电路输入和现态的各种可能取值,代入状态方程和输出方程进行计算,求出相应的次态和输出。

4)画状态转换图

5)确定电路的逻辑功能

根据状态转换图确定电路的逻辑功能,必要的话,可用文字详细描述。2. 时序逻辑电路设计的一般步骤

时序逻辑电路设计的一般步骤是:根据逻辑要求,确定电路状态转换规律,并由此求出各触发器的驱动方程和输出方程,最后画出相应的逻辑电路图。具体过程如下:

1)根据设计要求和给定条件,确定电路内部状态。

2)画出状态转换图或状态转换表,即建立原始状态转换图。

3)状态化简。即合并等价状态,画出最简状态转换图。等价状态是指输入相同、输出相同、转至次态也相同的重复状态。

4)状态分配,即对状态进行编码,给每个状态确定一个二进制编码。因为电路的状态是用触发器状态的不同组合表示的,所以状态分配前要确定触发器的数目n,为获得M个状态组合,应取2n-1

5)确定触发器的类型,根据状态转换图(或状态转换表)及触发器的特性,求出触发器的驱动方程和输出方程。

6)画出逻辑电路图。

7)检查所设计的电路是否具有自启动能力。如无自启动能力,则需要修改设计。

时序逻辑电路在实际中的应用

时序逻辑电路在实际中的应用 时序逻辑电路是一种重要的数字逻辑电路,其特点是电路任何一个时刻的输出状态不仅取决于当时的输入信号,而且与电路的原状态有关,具有记忆功能。构成组合逻辑电路的基本单元是逻辑门,而构成时序逻辑电路的基本单元是触发器。时序逻辑电路在实际中的应用很广泛,数字钟、交通灯、计算机、电梯的控制盘、门铃和防盗报警系统中都能见到。主要介绍典型的时序逻辑部件:集成计数器的识别与应用,集成寄存器的识别与应用;时序逻辑电路的分析和设计。 计数器在计算机及各种数字仪表中应用广泛,具有记忆输入脉冲个数的功能,还可以实现分频、定时等。计数器种类繁多,按技术体制可分为二进制计数器和N进制计数器;按增减趋势可分为加计数器和减计数器;按技术脉冲引入方式可分为同步计数器和异步计数器。同步计数器的特点是构成计数器的所有触发器共用同一个时钟脉冲,触发器的状态同时更新,计数速度快;而异步计数的特点是构成计数器的触发器不共用同一个时钟脉冲,所有触发器更新状态的时刻不一致,计数速度相对较慢。在实际应用中,计数器是以集成电路形式存在的,主要有集成二进制计数器、集成十进制计数器两大类,其他进制计数器可由它们通过外电路设计来实现。在每一大类计数器中,又以同步与异步、加计数与可逆计数来细分。 寄存器具有接收数码、存放或传递数码的功能,由触发器和逻辑门组成。其中,触发器用来存放二进制数,逻辑门用来控制二进制数的接收、传送和输出。由于一个触发器只能存放1位二进制数,因此,存放n位二进制数的n位寄存器,需要n个触发器来组成。寄存器有数码寄存器和移位寄存器2种。输入输出方式有并入-并出、并入-串出、串入-并出、串入-串出4种。当寄存器的每一位数码由一个时钟脉冲控制同时接收或输出时,称为并入或并出。而每个时钟脉冲只控制寄存器按顺序逐位移入或移出数码时,称为串入或串出。移位寄存器除了具有存储数码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的数码能在时钟脉冲作用下依次左移或右移。因此,移位寄存器不仅可以用来寄存数码,而且可以用来实现数码的串行-并行转换。 时序逻辑电路的分析实际上是一个读图、识图的过程,就是根据给定的时序逻辑电路,通过分析其状态和输出信号在输入变量和时钟作用下的转换规律,理解其逻辑功能和工作特性。时序逻辑电路的设计是时序逻辑电路分析的逆过程,就是根据给定的逻辑问题,设计出满足要求的时序逻辑电路。设计时序逻辑电路的任务就是根据给定的逻辑问题,设计出满足要求的时序逻辑电路。在实际应用中,常用集成触发器和门电路配合来设计时序逻辑电路。通常,电路设计最简的标准是:所用的触发器和门电路的数量以及门的输入端数目尽可能少。 1. 时序逻辑电路分析的一般步骤 时序逻辑电路分析的一般步骤可归纳为:写方程式、求状态方程、进行计算、画状态转换图(或状态转换表)、确定电路的逻辑功能等。 1)写方程式 仔细观察、分析时序电路,然后再逐一写出以下3个方程。 ①时钟方程:各个触发器时钟信号的逻辑表达式。 ②输出方程:时序电路各个输出信号的逻辑表达式。 ③驱动方程:各个触发器输入端信号的逻辑表达式。 2)求状态方程 把驱动方程代入相应触发器的特性方程,即可求出时序电路的状态方程。

时序逻辑电路设计

引言 人类社会进步,各种仪器测试设备的以电子设备代替成为趋势,各类测试仪器都希望通过电子设备来实现。电子设备在实现相应参数的测量时,具有简单容易操作,而且数据便于计算机处理等优点。目前科技的飞速进展与集成电路的发展应用,有密不可分的关系。十九世纪工业革命主要以机器节省人力,二十世纪的工业的革命则主要以电脑为人脑分劳。而电脑的发展归于集成电路工业。 集成电路是将各种电路器件集成于半导体表面而形成的电路。近年来集成电路几乎成为所有电子产品的心脏。由于集成电路微小化的趋向,使电子产品得以“轻、薄、短、小”。故集成电路工业又称微电子工业。差不多在同时数字计算机的发展提供了应用晶体管的庞大潜在市场。 20世纪90年代以后,电子科学和技术取得了飞速的发展,其标志就是电子计算机的普及和大规模集成电路的广泛应用。在这种情况下,传统的关于数字电路的内容也随之起了很大的变化,在数字电路领域EDA工具已经相当成熟,无论是电路内容结构设计还是电路系统设计,以前的手工设计都被计算机辅助设计或自动设计所取代。 通过长期的学习微电子专业理论知识,我们应该多动手实践把理论知识与实践相结合,加强对理论知识的把握。本文是十进制同步计数器的设计,对十进制同步计数器的设计进行电路原理图设计以及仿真,版图设计,版图验证。 1 设计技术要求 (1)项目名称:十进制同步计数器的设计 (2)使用工艺:2.0um硅栅工艺(tanner)或者1.0um硅栅工艺(cadence) (3)供电电源:5V (4)输入要求:异步清除,CMOS电平 (5)进行原理图设计,并完成电路的仿真 (6)版图设计,完成LVS一致性检验,生成相应的GDSII文档 2 设计构思及理论 2.1 设计思路 十进制同步计数器的设计可以细化成下列步骤: ①建立最简原始状态图。 ②确定触发器级数,进行状态编码。 ③用状态装换卡诺图化简,求状态方程和输出方程。 ④查自启动特性。 ⑤确定触发类型,求驱动方程。 ⑥画逻辑图。

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

时序逻辑电路的组成及分析方法案例说明

时序逻辑电路的组成及分析方法案例说明 一、时序逻辑电路的组成 时序逻辑电路由组合逻辑电路和存储电路两部分组成,结构框图如图5-1所示。图中外部输入信号用X (x 1,x 2,… ,x n )表示;电路的输出信号用Y (y 1,y 2,… ,y m )表示;存储电路的输入信号用Z (z 1,z 2,… ,z k )表示;存储电路的输出信号和组合逻辑电路的内部输入信号用Q (q 1,q 2,… ,q j )表示。 x x y 1 y m 图8.38 时序逻辑电路的结构框图 可见,为了实现时序逻辑电路的逻辑功能,电路中必须包含存储电路,而且存储电路的输出还必须反馈到输入端,与外部输入信号一起决定电路的输出状态。存储电路通常由触发器组成。 2、时序逻辑电路逻辑功能的描述方法 用于描述触发器逻辑功能的各种方法,一般也适用于描述时序逻辑电路的逻辑功能,主要有以下几种。 (1)逻辑表达式 图8.3中的几种信号之间的逻辑关系可用下列逻辑表达式来描述: Y =F (X ,Q n ) Z =G (X ,Q n ) Q n +1=H (Z ,Q n ) 它们依次为输出方程、状态方程和存储电路的驱动方程。由逻辑表达式可见电路的输出Y 不仅与当时的输入X 有关,而且与存储电路的状态Q n 有关。 (2)状态转换真值表 状态转换真值表反映了时序逻辑电路的输出Y 、次态Q n +1与其输入X 、现态Q n 的对应关系,又称状态转换表。状态转换表可由逻辑表达式获得。 (3)状态转换图

状态转换图又称状态图,是状态转换表的图形表示,它反映了时序逻辑电路状态的转换与输入、输出取值的规律。 (4)波形图 波形图又称为时序图,是电路在时钟脉冲序列CP的作用下,电路的状态、输出随时间变化的波形。应用波形图,便于通过实验的方法检查时序逻辑电路的逻辑功能。 二、时序逻辑电路的分析方法 1.时序逻辑电路的分类 时序逻辑电路按存储电路中的触发器是否同时动作分为同步时序逻辑电路和异步时序逻辑电路两种。在同步时序逻辑电路中,所有的触发器都由同一个时钟脉冲CP控制,状态变化同时进行。而在异步时序逻辑电路中,各触发器没有统一的时钟脉冲信号,状态变化不是同时发生的,而是有先有后。 2.时序逻辑电路的分析步骤 分析时序逻辑电路就是找出给定时序逻辑电路的逻辑功能和工作特点。分析同步时序逻辑电路时可不考虑时钟,分析步骤如下: (1)根据给定电路写出其时钟方程、驱动方程、输出方程; (2)将各驱动方程代入相应触发器的特性方程,得出与电路相一致的状态方程。 (3)进行状态计算。把电路的输入和现态各种可能取值组合代入状态方程和输出方程进行计算,得到相应的次态和输出。 (4)列状态转换表。画状态图或时序图。 (5)用文字描述电路的逻辑功能。 3.案例分析 分析图8.39所示时序逻辑电路的逻辑功能。 图8.39 逻辑电路 解:该时序电路的存储电路由一个主从JK触发器和一个T触发器构成,受统一的时钟CP控制,为同步时序逻辑电路。T触发器T端悬空相当于置1。 (1)列逻辑表达式。 输出方程及触发器的驱动方程分别为

时序逻辑电路的设计方法

5.2 时序逻辑电路的设计方法 本次重点内容: 1、同步时序逻辑电路的设计方法。 2、异步时序逻辑电路的设计方法。 教学过程 5.2.1 同步时序逻辑电路的设计 一、同步时序逻辑电路的设计方法 设计关键:根据设计要求→确定状态转换的规律→求出各触发器的驱动方程。 设计步骤:(先简单介绍,通过以下的举例后,再进行总结,特别再点出设计关键)1.根据设计要求,设定状态,确定触发器数目和类型。画出状态转换图。 2.状态化简 前提:保证满足逻辑功能要求。 方法:将等价状态(多余的重复状态)合并为一个状态。 3.状态分配,列出状态转换编码表 通常采用自然二进制数进行编码。N为电路的状态数。 每个触发器表示一位二进制数,因此,触发器的数目n可按下式确定 2n≥N>2n–1 4.画状态转换卡诺图,求出状态方程、输出方程 选择触发器的类型(一般可选JKF/F或DF/F,由于JK触发器使用比较灵活,因此,在设计中多选用JK触发器。)将状态方程和触发器的特性方程进行比较→驱动方程。 5.根据驱动方程和输出方程画逻辑图。 6.检查电路有无自启动能力。 如设计的电路存在无效状态时,应检查电路进入无效状态后,能否在时钟脉冲作用下自动返回有效状态工作。如能回到有效状态,则电路有自启动能力;如不能,则需修改设计,使电路具有自启动能力。 二、同步时序逻辑电路的设计举例 [例1] 试设计一个同步七进制加法计数器。

解:设计步骤 (1)根据设计要求,设定状态,画状态转换图。 七进制→7个状态→用S0,S1,…,S6表示 状态转换图如下所示: (2)状态化简。 本例中7个状态都是有效状态。 (3)状态分配,列状态转换编码表。 根据式2n≥N>2n–1,→ N=7,n=3,即采用三个触发器。 选用三位自然二进制加法计数编码→列出状态转换编码表。 (4)选择触发器的类型,求出状态方程,驱动方程和输出方程。根据状态转换编码表→得到各触发器次态和输出函数的卡诺图。得 输出方程为: Y= Q2n Q1n

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

时序逻辑电路(

第六章时序逻辑电路 内容提要 【熟悉】触发器四种电路结构及动作特点,四种逻辑功能及其逻辑关系、逻辑符号,逻辑功能的四种描述方法 【掌握】时序电路的特点和一般分析方法 【熟悉】寄存器的功能、分类及使用方法, 双向移位寄存器的级联【掌握】计数器的功能和分类,级联法、置位法构成N进制计数器【掌握】555定时器构成三种电路的工作特点、连接方法及主要参数一.一.网上导学 二.二.典型例题 三.三.本章小结 四.四.习题答案 网上导学 §6.1时序逻辑电路的特点 时序逻辑电路的特点:任意时刻的输出不仅取决于该时刻的输入,而 且还和电路原来的状态有关,所以时序电路具有记 忆功能。 在第五章中,向大家介绍了组合电路。 组合电路的特点是其任意时刻的输出状态仅取决于该时刻的输入状态。 2.时序电路逻辑功能描述方法 在上面给出的时序电路结构框图中,包括组合逻辑电路和具有记忆功能的存储电路。 输出变量y1,y2,y3。。。。y b,合称输出矢量Y(t)。 输入变量x1,x2,x3。。。。x a,合称输入矢量X(t)。 同样,存储电路的输入、输出称之为矢量P(t)和矢量Q(t)

按照结构图,我们可以列出三组方程:设tn+1,tn分别为相邻的两个离散的时间瞬间。 矢量Y(tn)是X(tn),Q(tn)的函数,称输出方程。 矢量P(tn)是X(tn),Q(tn)的函数,称驱动方程。 矢量Q(tn+1)是P(tn),Q(tn)的函数,称状态方程。 本节问答题 1.1.什么叫组合逻辑电路? 2.2.什么叫时序逻辑电路? 3.3.它们在逻辑功能和电路结构上各有什么特点? 4.4.在时序电路中,时间量tn+1,tn各是怎样定义的?描述时序电路功能需要几个方程,它们各表示什么含义? §6.2触发器 在这一节中,向大家介绍一种最基本的存储电路触发器(flip-flop)。触发器具有以下基本特点: (1)具有两个稳定的(0和1)状态,能存储一位二进制信息; (2)根据不同的输入,可将输出置成0或1状态; (3)当输入信号消失后,被置成的状态能保存下来。 6.2.1 基本RS触发器 一.电路结构及逻辑符号 在本书第三章里,我们讲了各种门电路,若把两个反相器按照a 图的形式连接起来,可以看出,A点和B点信号是反相的,而A点和C点始终保持同一电平。这样,可以把A,C视为同一点(下面的b 图和c图)。在C图中,A,B两点始终反相,而且电路状态稳定,在没有外界干扰或者触发的状态下,电路能够保持稳定的输出。(这一

时序逻辑电路分析举例

时序逻辑电路分析例题 1、 分析下图时序逻辑电路。 解: 1、列出驱动方程:111==K J 1//122Q A AQ K J +== 2、列出状态方程: 将驱动方程代入JK 触发器的特性方程Q K JQ Q //*+=得: /1*1Q Q = 212/1//21//2/1*2Q AQ Q Q A Q Q A Q AQ Q +++= 3、列出输出方程: 21//2/1Q Q A Q AQ Y += 4、列出状态转换表: (1)当A=1时: 根据:/1*1Q Q =;21/2/1*2Q Q Q Q Q +=;/ 2/1Q Q Y =得:

(2)当A=0时: 根据:/1*1Q Q =;2/1/21*2 Q Q Q Q Q +=;21Q Q Y =得: 5、画状态转换图: 6、说明电路实现的逻辑功能: 此电路是一个可逆4进制(二位二进制)计数器,CLK 是计数脉冲输入端,A 是加减控制端,Y 是进位和借位输出端。当控制输入端A 为低电平0时,对输入的脉冲进行加法计数,计满4个脉冲,Y 输出端输出一个高电平进位信号。当控制输入端A 为高电平1时,对输入的脉冲进行减法计数,计满4个脉冲,Y 输出端输出一个高电平借位信号。 2、如图所示时序逻辑电路,试写出驱动方程、状态方程,画出状态图,说明该电路的功能。

()()n n n n n n n n n n n n n n Q XQ Q Q X Q Q X Q Q Q X Q Q X Q Q X Q 0 1 1 1 1 010110 11+=⊕=+=⊕=++ 输出方程 ()01Q Q X Z ⊕= 1、 状态转换表,如表所示。状态转换图,略。 CP X Z

时序逻辑电路设计题

第1题: 设计一个串行数据检测器,对它的要求是:连续输入3个或3个以上的1时输出为1,其他输入情况下输出为0。 答案 输入数据作为输入变量,用X 表示;检测结果为输出变量,用Y 表示。 设电路没有输入1以前的状态为0S ,输入一个1状态为1S ,连续输入两个1后的状态为2S ,连续输入3个1以后的状态为3S 。状态转换图为: 求得触发器的输入方程为:X K XQ J ==101; 1;010==K Q X J 输出方程:1XQ Y = 画出逻辑图 第2题: 试用JK 触发器和门电路设计一个同步七进制计数器。 答案 因为七进制计数器需要有7个不同的状态,所以需要用三个触发器组成。根据题目要求画出状态转换图: 卡诺图为:

从卡诺图得到的状态方程为: 驱动方程为: 设计得到的逻辑电路图为: 第3题:设计一“011”序列检测器,每当输入011码时,对应最后一个1,电路输出为1。答案 画出原始状态图(或称转移图) 输入端X:输入一串行随机信号 输出端Z:当X出现011序列时,Z=1;否则Z=0

选用T 触发器 表达式为: T 触发器的驱动方程为: 第4题: 用JK 触发器设计时序逻辑电路,状态表如下所示: n n Q Q 01 Y Q Q n n /1 11++ A=0 A=1 00 01/0 11/0 01 10/0 00/0 10 11/0 01/0 11 00/1 10/1 答案 所要设计的电路由4个状态,需要用两个JK 触发器实现,求得JK 触发器的激励方程为:100==K J 011Q A K J ⊕== 输出方程:01Q Q Y = 由输出方程和激励方程画电路 A B C D 1/0 0/0 0/0 1/1 0/0 0/0 1/0 1/0 011XQ Q T +=000XQ Q X T +=0 1Q XQ Z =011XQ Q T +=0 00XQ Q X T +=0 1Q XQ Z =

实验四:时序逻辑电路的应用

时序逻辑电路的应用 ●实验目的: 1.实现0-9十进制数计数(使用74LS90,74LS47芯片);2.实现六进制数计数(使用74LS90,74LS47芯片,异步置零);3.实现0 2 4 6 8 1 3 5 7 9 的计数。 ●实验原理: 1.要使数字显示译码器显示0-9的计数,必须在输入端接入74LS47译码器的输出,而该译码器需要在输入端引入 8421BCD码; 这样以来,需要用74LS90输出8421BCD码,可通过以下过程 实现:时钟信号 CP1(输入) Q0(输出) CP2 (输入) Q3Q2Q1Q0(输出8421BCD码,Q3为最高位)。 电路图如图一: 图表1

2. 列出74LS90的输出的8421BCD 码与数字显示译码器译码器显 示数字之间的关系: 从这张表格我们可以看到:当输出为0110时,输出应该自动清零;同时我们发现,该时刻Q 2 Q 1同时为一,之前的其它组合并没有这个特点;而且74LS90有两个清零端RV1和RV2,当同时为一是,便自动清零。于是我们只需要将Q 2 Q 1反馈到RV1 RV2,同时74LS4 D 端接地,便 能实现六进制数计数。 电路图如图二: 图表 2 3. 列出74LS90的输入与数字显示译码器译码器显示数字之间 Q 3 Q 2 Q 1 Q 0 显示 0 0 0 0 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0 1 0 1 5 1 1 0 0(6)

的关系和5421BCD 码: 观察以上两张表:将右表的Q 0列移动至最后一列,便得到左表,由此我们可以用74LS90产生5421BCD 码,然后将最高位接入74LS47的最低位A 端,其余依次由高到低接入D C B 。 5421BCD 码的产生方法为:时钟信号 CP 2(输入) Q 3 (输出) CP 1(输入) Q 0Q 3Q 2Q 1(输出5421BCD 码,Q 0为最高位)。 电路图如下图: Q 3 Q 2 Q 1 Q 0 显示 0 0 0 0 0 0 0 1 0 2 0 1 0 0 4 0 1 1 0 6 1 0 0 0 8 0 0 0 1 1 0 0 1 1 3 0 1 0 1 5 0 1 1 1 7 1 1 9 Q 0 Q 3 Q 2 Q 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1

电子技术习题解答.第8章.触发器和时序逻辑电路及其应用习题解答

第8章 触发器和时序逻辑电路及其应用习题解答 8.1 已知基本RS 触发器的两输入端D S 和D R 的波形如图8-33所示,试画出当基本RS 触发器初始状态分别为0和1两种情况下,输出端Q的波形图。 图8-33 习题8.1图 解:根据基本RS 触发器的真值表可得:初始状态为0和1两种情况下,Q的输出波形分别如下图所示: 习题8.1输出端Q的波形图 8.2 已知同步RS 触发器的初态为0,当S 、R 和CP 的波形如图8-34所示时,试画出输出端Q的波形图。 图8-34 题8.2图 解:根据同步RS 触发器的真值表可得:初始状态为0时,Q的输出波形分别如下图所示:

习题8.2输出端Q的波形图 8.3 已知主从JK触发器的输入端CP、J和K的波形如图8-35所示,试画出触发器初始状态分别为0时,输出端Q的波形图。 图8-35 习题8.3图 解:根据主从JK触发器的真值表可得:初始状态为0情况下,Q的输出波形分别如下图所示: 习题8.3输出端Q的波形图 8.4 已知各触发器和它的输入脉冲CP的波形如图8-36所示,当各触发器初始状态均为1时,试画出各触发器输出Q端和Q端的波形。

图8-36 习题8.4图 解:根据逻辑图及触发器的真值表或特性方程,且将驱动方程代入特性方程可得状态方程。即:(a )J =K =1;Qn + 1=n Q,上升沿触发 (b)J =K =1;Qn + 1=n Q, 下降沿触发 (c)K =0,J =1;Qn + 1=J n Q+K Qn =1,上升沿触发 (d)K =1,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0·Qn =n Q,上升沿触发 (e)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,上升沿触发 (f)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,下降沿触发, 再根据边沿触发器的触发翻转时刻,可得当初始状态为1时,各个电路输出端Q的波形分别如图(a )、(b )、(c )、(d )、(e )和(f )所示,其中具有计数功能的是:(a )、(b )、(d )、(e )和(f )。各个电路输出端Q的波形与相应的输出端Q的波形相反。 习题8.4各个电路输出端Q的波形图

时序逻辑电路设计

时序逻辑电路的设计 一、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1片74LS90和1片与非门设计一个5进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2.实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000,置0; (3)计数脉冲由CP0端输入,输出由Q0端引出,即得二进制计数器; (4)计数脉冲由CP1端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器;(5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2:判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表; Step 3:根据状态转换表,分离出各触发器输出量Q 0~Q m (m=1、2、3…)、输出 变量Y的卡诺图并化简,得到各个触发器的状态方程;

时序逻辑电路的设计

时序逻辑电路的设计 班级 姓名 学号

实验四时序逻辑电路的设计 一、实验目的 1. 学习时序逻辑电路的分析方法和设计方法。 2. 熟悉并掌握利用中小规模芯片实现时序逻辑电路的方法。 3. 提高调试数字电路的能力。 二、实验任务 利用实验盒中的计数器芯片74LS90、74LS161及其他器件设计并实现一个数字钟电路,具体要求如下: 1. 时间显示范围00:00~11:59; 2. 任何时刻可实现手动清零; 3. 实现整点报时功能,声响从54 分起、整点止,并要求报时声音清晰响亮。选择 学习机上的脉冲源做时钟信号;数码管LED4~LED1 显示小时和分钟;蜂鸣器做整点报时。 三、提高任务 1. 为数字钟电路设计对表调时功能。用学习机右侧数字键盘中的“START”按键作为 调时脉冲输入,其内部电路见图1。 图1 学习机上“START”按键的内部电路 2. 为数字钟电路设计一个上电自动清零电路,即通电后从00:00 开始计时。 四、实验说明 1. 了解芯片的引脚排列,特别注意74LS90 的电源和地引脚编号与其他芯片不同。 2. 学习机上的蜂鸣器BDC 为直流蜂鸣器:工作电压为3~12V 的直流电压。蜂鸣器 的工作电流约8~15mA。若门电路不能直接驱动蜂鸣器,可搭建图2 驱动电路。

图 2(a) 9011 引脚图 图 2(b )驱动电路 3. 学习机上的带译码器数码管 LED1~LED3 用作数字 钟的分个位、分十位和小时个位的显示。 不带译码器 LED4 作为小时的十位数字显示。小时十 位只需显示数字“0”和“1”,建议用图 4 电路连接方式 实现。其中: (1) 数码管公共端“com ”接学习机中的地“GND ”; (2) 数码管的b 、c 段接学习机中的5V ; (3) 数码管的a 、d 、e 和f 四段相互连接后,接到数字钟小时十位的输出端。 五、电路设计 1. 设计思路及工作原理 首先实现计时功能,分为分钟模块和小时模块,分钟模块用2片74LS90构成,其中一片接成十进制,输出端接到数码管上显示分钟的个位,并向下一级给出进位信号,另一片接成六进制,输出接到数码管上显示分钟的十位,并向下一级给出进位信号。小时模块用一片74LS161构成,由于小时的输出非一般,所以需要经过一系列门电路。 其次实现清零功能,由于3个芯片均有异步清零端,但有的为高电平,有的为低电平,所以只需将清零信号及反相后的信号分别接在清零端就行。 然后实现报时功能,只需将两片74LS90的输出端经过几个门电路即可实现54~59给出高电平。 再实现上电清零功能,只需在清零的开关和地之间并一个电容就行,这样上电后清零端给出低电平。 最后实现调时功能,加上一个控制电路很容易实现调时,但是也会出现思考题3中出现的问题,解决方案见下。 2. 电路性能测试结果。 能有效计时、报时、清零、调时,实现了要求的功能。 图 4 LED4 的连接图 3. 在实验中遇到的问题及解决方法。 主要问题有两个:一是调时时按一下跳很多次,开始时考虑的解决方法是仿照上电清零,在开关两端加电容,但是把实验盒中的电容用了个遍也没有解决问题,后来突然一下才想到可以用D 触发器的;二是开始时加上了蜂鸣器的驱动电路,后来发现其实芯片输出的电流是可以直接驱动蜂鸣器的。 4. 此次实验的收获。 学会了时序电路的设计和调试方法。

时序逻辑电路应用举例

时序逻辑电路应用举例 1 抢答器 在智力竞赛中,参赛者通过抢先按动按钮,取得答题权。图1是由4个D触发器和2个“与非”门、1个“非”门等组成的4人抢答电路。抢答前,主持人按下复位按钮SB,4个D触发器全部清0,4个发光二极管均不亮,“与非”门G1输出为0,三极管截止,扬声器不发声。同时,G2输出为1,时钟信号CP经G3送入触发器的时钟控制端。此时,抢答按钮SB1~SB4未被按下,均为低电平,4个D 触发器输入的全是0,保持0状态不变。时钟信号CP可用555定时器组成多谐振荡器的输出。 当抢答按钮SB1~SB4中有一个被按下时,相应的D触发器输出为1,相应的发光二极管亮,同时,G1输出为1,使扬声器响,表示抢答成功,另外G1输出经G2反相后,关闭G3,封锁时钟信号CP,此时,各触发器的时钟控制端均为1,如果再有按钮被按下,就不起作用了,触发器的状态也不会改变。抢答完毕,复位清零,准备下次抢答。图1四人抢答器

2。八路彩灯控制器 八路彩灯控制器由编码器、驱动器和显示器(彩灯)组成,编码器根据彩灯显示的花型按节拍送出八位状态编码信号,通过驱动器使彩灯点亮、熄灭。图2给出的八路彩灯控制器电路图中,编码器用两片双向移位寄存器74LS194实现,接成自启动脉冲分配器(扭环形计数器),其中D1为左移方式,D2为右移方式。驱动器电路如图3,当寄存器输出Q为高电平时,三极管T导通,继电器K通电,其动合触点闭合,彩灯亮;当Q为低电平时,三极管截止,继电器复位,彩灯灭。 图2 八路彩灯控制器电路

工作时,先用负脉冲清零,使寄存器输出全部为0,然后在节拍脉冲(可由555定时器构成的多谐振荡器输出)的控制下,寄存器的各个输出Q按下表所示的状态变化,每8个节拍重复一次。这里假定8路彩灯的花型是:由中间向两边对称地逐次点亮,全亮后,再由中间向两边逐次熄灭。 图3 驱动器电路 寄存器输出状态

时序逻辑电路实验报告

二、时序逻辑电路实验题目 1. 试用同步加法计数器74LS161(或74LS160)和二4输入与非门74LS20构成百以内任意进制计数器,并采用LED 数码管显示计数进制。采用555定时器构成多谐振荡电路,为同步加法计数器提供时钟输入信号。例如,采用同步加法计数器74LS 161构成60进制加法计数器的参考电路如图2所示。 1Q A Q B Q C Q D CP 74LS161P T R CO D C B A L D C r Q A Q B Q C Q D CP 74LS161P T R CO D C B A L D C r CP & 设计: (一)设计一个固定进制的加法计数器。 (1)利用555定时器设计一个可以生时钟脉冲的多谐振荡器,使其构成长生脉冲,对同步加法器74LS161输入信号,根据555定时器构成的多谐振荡器的周期可定,由图可的T=T 1+T 2=(R A +R B )C+ R B C=(R A +2R B )C ,通过改变电阻R A ,R B 和C 的大小,可以改变脉冲的周期。所发电阻为2个510k Ω,C=1uF ,则T=(R A +2R B )C= (2)利用十六进制的加法计数器74LS61组成百以内任意进制计数器,可以用清零法和置数法改变计数器的技术进制,由于译码显示器可以显示….9,所以一片74LS161只可以控制一个显示器,就要将一片74LS161改为十进制,最后再利用级联的74LS161改变数组进制,可以将不同进制的数值用显示姨妈其显示出来,下面以33进制为例进行设计, a.清零法,异步清零信号为 = 计图如下:

U1 LM555CM GND 1 DIS 7OUT 3RST 4VCC 8 THR 6CON 5 TRI 2VCC 5V R1510kΩR2510kΩC11uF C25nF VCC 2 13U2 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1CLK 2 GND 8 VCC 16U3 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1 CLK 2GND 8VCC 1600U4 DCD_HEX_DIG_ORANGE U5 DCD_HEX_DIG_ORANGE VCC 5V VCC 5V VCC 600 U8B 74S00D 5 U6B 74S00D 10U7A 74S20D 141113 12 874VCC 5V 15 VCC VCC 9 上图中两个一码显示,左边是低位显示,右边为高位显示。 (3)状态转换图为: B,置数法,为了使显示数字范围在0~9,才能使显示译码器显示0~9,则是置数Q A1Q B1Q C1Q D1=0000,,在第一个74LS161与第二个之间对进位信号进行改造,将进位信号改为RCO=Q A Q D = ,用两个与非门实现该功能。则当=1, =0,且时钟信号来临时,计 数器置数Q A1Q B1Q C1Q D1=0000,置数信号LD= 则设计电路为: U1 LM555CM GND 1 DIS 7OUT 3 RST 4VCC 8 THR 6CON 5 TRI 2VCC 5V R1510kΩR2510kΩC1100nF C25nF VCC 2 13U2 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1 CLK 2 GND 8 VCC 16U3 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1 CLK 2GND 8VCC 1600DCD_HEX_DIG_ORANGE U5 DCD_HEX_DIG_ORANGE VCC 5V VCC 5V VCC 600 U8B 74S00D 5 U6B 74S00D U7A 74S20D 141113 12874VCC 5V 9 VCC 10VCC 15

第五章 常用时序逻辑电路

第五章常用时序集成电路模块及其应用 用常用时序中规模集成模块设计数字电路仍是目前组成数字系统的主要设计方法,熟悉和掌握时序中规模集成模块的基本工作原理及其应用也是数字电子技术课程的主要任务。本章要求学生认识时序模块的国标符号、逻辑符号和时序电路模块的功能表,进而掌握用时序模块和其他电路组成的应用电路。 第一节基本知识、重点与难点 一、基本知识 (一)常用时序模块 在实际中有许多MSI产品可供选用,掌握了这些产品的逻辑功能、性能指标和使用方法,就可以方便地利用它们构成具有各种功能的数字电路,而无需采用单元触发器和门电路进行设计。 (二)计数器及其应用 计数器是用来计算输入脉冲数目的时序逻辑电路,是数字系统中应用最广泛的基本单元之一。它是用电路的不同状态来表示输入脉冲的个数。计数器所能计算脉冲数目的最大值(即电路所能表示状态数目的最大值)称为计数器的模(M)。 按进位方式,计数器可分为同步和异步两类。同步计数器的所有触发器共用一个时钟脉冲,时钟脉冲就是计数的输入脉冲。异步计数器只有部分触发器的时钟信号是计数脉冲,而另一部分触发器的时钟信号是其他触发器或组合电路的输出信号,因而各级触发器的状态更新不是同时发生的。 按进位制方式,计数器可分为二进制和非二进制(包括十进制)。 按逻辑功能方式,计数器可分为加法计数器、减法计数器和可逆计数器等。加法计数器的状态变化和数的依次累加相对应。减法计数器的状态变化和数的依次递减相对应。可逆计数器由控制信号控制实现累加或递减,可实现加法或减法计数。 若计数脉冲为一周期性信号,则模为M的计数器输出信号的频率为计数脉冲频率的1/M,也就是说,计数器具有分频的功能,可作为数字分频器使用。 工程中经常用到的序列信号发生器,也可由计数器设计而成。 (三)寄存器及其应用 寄存器与移位寄存器均是数字系统中常见的逻辑模块。寄存器用来存放二进制数码,移位寄存器除具有寄存器的功能外,还可将数码移位。 1.寄存器 寄存器用来存放二进制数码。事实上每个触发器就是一位寄存器。74175是由四个具有公共清零度端的上升沿D型触发器构成的中规模集成电路。 2.移位寄存器 移位寄存器具有移位功能,即除了可以存放数据以外,还可将所存数据向左或向右移位。 移位寄存器有单向移位和双向移位之分,还常带有并行输入端。74195是带有并行存取功能的四位单向移位寄存器。74194是可并行存取的四位双向移位寄存器,是一种功能比较齐全的移位寄存器,它具有左移、右移、并行输入数据、保持以及清除等五种功能。 利用移位寄存器可以很方便地将串行数据变换为并行数据,也可以将并行数据变换为串行数据。计算机中外部设备与主机之间的信息交换常常需要这种变换。

时序逻辑电路设计

时序逻辑电路的设计 、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1 片74LS90和1 片与非门设计一个5 进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2. 实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“ 1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“ 1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000置, 0; (3)计数脉冲由CP0端输入,输出由Q0 端引出,即得二进制计数器; (4)计数脉冲由CP1 端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器; (5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2 :判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表;Step 3 :根据状态转换表,分离出各触发器输出量Q0~Q m(m=1、2、3, )、输出变量Y 的卡诺图并化简,得到各个触发器的状态方程;

相关文档
最新文档