维特比译码程序

维特比译码程序
维特比译码程序

(n,k,N)卷积码的维特比译码算法实现#include

#define t_src 0

#define t_des 1

#define t_len 2

#define t_flag 3

#define t_in 4

using namespace std;

intmyn=0;

intstalen=0;

int myg1[10]={0};

int myg2[10]={0};

int stan0[256][2]={0};//输入0时个状态的输出

int stan1[256][2]={0};//输入1时各状态的输出intstachn[256][2]={0};//状态装换表

int path[256][100]={0};//存储路径

intcalpath[256]={0};//存储路径长度

intmyin[24]; //一次处理12次

intmyout[200]; //

intmyoutsym=0;

intpthsym;

intoutfull=0; //决定是否输出

int table1[8]={1,2,4,8,16,32,64,128};

voidchartobits(char ch,int *bits);

charbitstochar(int *bits);

intcalluj(int a1,int a2,int b1,int b2);

voidinitpath(void);

voidselpath(int a1,int a2);

voidwridata(void);

voidviterbit(void);

voidwritdataedn(void);

voidcreatsta(void);

voidmyinput(void);

int main(){

myinput();

creatsta();

viterbit();

}

voidmyinput(void){

inti,j;

cout<<"输入编码的约束长度N:(3

cin>>myn;

stalen=int(pow(2.0,myn-1));

cout<<"选择默认的编码矢量则输入1,输入2则可输入其他的编码矢量"<

cin>>i;

if(i==1){

switch(myn){

case 3: myg1[0]=1,myg1[1]=1,myg1[2]=1;

myg2[0]=1,myg2[1]=0,myg2[2]=1;

break;

case 4: myg1[0]=1,myg1[1]=1,myg1[2]=1,myg1[3]=1;

myg2[0]=1,myg2[1]=0,myg2[2]=1,myg2[3]=1;

break;

case 5: myg1[0]=1,myg1[1]=0,myg1[2]=1,myg1[3]=1,myg1[4]=1;

myg2[0]=1,myg2[1]=1,myg2[2]=0,myg2[3]=1,myg2[4]=1;

break;

case 6: myg1[0]=1,myg1[1]=0,myg1[2]=1,myg1[3]=1,myg1[4]=1,myg1[5]=1;

myg2[0]=1,myg2[1]=1,myg2[2]=0,myg2[3]=1,myg2[4]=0,myg2[5]=1;

break;

case 7: myg1[0]=1,myg1[1]=0,myg1[2]=0,myg1[3]=1,myg1[4]=1,myg1[5]=1,myg1[6]=1;

myg2[0]=1,myg2[1]=1,myg2[2]=0,myg2[3]=1,myg2[4]=1,myg2[5]=0,myg2[6]=1;

break;

case 8: myg1[0]=1,myg1[1]=0,myg1[2]=0,myg1[3]=1,myg1[4]=1,myg1[5]=1,myg1[6]=1,myg1[7]=1;

myg2[0]=1,myg2[1]=1,myg2[2]=1,myg2[3]=0,myg2[4]=0,myg2[5]=1,myg2[6]=0,myg2[7]=1;

break;

case 9: myg1[0]=1,myg1[1]=1,myg1[2]=0,myg1[3]=1,myg1[4]=0,myg1[5]=1,myg1[6]=1,myg1[7]=1,m yg1[8]=1;

myg2[0]=1,myg2[1]=0,myg2[2]=0,myg2[3]=0,myg2[4]=1,myg2[5]=1,myg2[6]=1,myg2[7]=0,m yg2[8]=1;

break;

}

}

else{

cout<<"输入g1"<

for(j=0;j

cin>>myg1[j];

cout<<"输入g2"<

for(j=0;j

cin>>myg2[j];

}

cout<<"连接矢量1为"<

for(j=0;j

cout<

cout<

cout<<"连接矢量2为"<

for(j=0;j

cout<

cout<

cout<<"stalen: "<

cout<

}

voidcreatsta(void){

inti,j,k,myi;

inttembits[10];

for(i=0;i

stan1[i][0]=0;

stan1[i][1]=0;

stan0[i][0]=0;

stan0[i][1]=0;

stachn[i][0]=i/2;

myi=i;

for(j=0;j

if(myi>=pow(2.0,myn-1-j)){

tembits[j]=1;

myi=myi-pow(2.0,myn-1-j);

}

else{

tembits[j]=0;

}

}

for(k=0;k

stan0[i][0]+=myg1[k]*tembits[k];

stan0[i][1]+=myg2[k]*tembits[k];

}

stan0[i][0]=stan0[i][0]%2;

stan0[i][1]=stan0[i][1]%2;

myi=i+int(pow(2.0,myn-1));

stachn[i][1]=myi/2;

for(j=0;j

if(myi>=pow(2.0,myn-1-j)){

tembits[j]=1;

myi=myi-pow(2.0,myn-1-j);

}

else{

tembits[j]=0;

}

}

for(k=0;k

stan1[i][0]+=myg1[k]*tembits[k];

stan1[i][1]+=myg2[k]*tembits[k];

}

stan1[i][0]=stan1[i][0]%2;

stan1[i][1]=stan1[i][1]%2;

}

cout<<"状态转移出"<

for(i=0;i

cout<

cout<

cout<<"输入0状态转移后的输出"<

for(i=0;i

cout<

cout<

cout<<"输入1状态转移后的输出"<

for(i=0;i

cout<

cout<

}

voidchartobits(char ch,int *bits){

inti;

for(i=0;i<8;i++){

if(ch<0)

bits[i]=1;

else

bits[i]=0;

ch=ch<<1;

}

}

charbitstochar(int *bits){

char temp=0;

inti;

for(i=0;i<8;i++){

if(bits[i]==1)

temp+=table1[7-i];

}

return temp;

}

intcalluj(int a1,int a2,int b1,int b2){

int y=0;

if(a1!=b1)

y++;

if(a2!=b2)

y++;

return(y);

}

voidinitpath(){

int tem;

intt_tem[256][5]={0};

inti,j,k,l;

intljtem[256][100];

intpttem[256]={0};

intstaflag[256]={0};

staflag[0]=1;

int a1,a2;

for(l=0;l

for(i=0;i

for(j=0;j

ljtem[i][j]=path[i][j];

i=0;

a1=myin[2*l];

a2=myin[2*l+1];

for(j=0;j

if(staflag[j]==1){

tem=calluj(a1,a2,stan0[j][0],stan0[j][1]);

t_tem[i][t_src]=j;

t_tem[i][t_des]=stachn[j][0];

t_tem[i][t_len]=calpath[j]+tem;

t_tem[i][t_in]=0;

tem=calluj(a1,a2,stan1[j][0],stan1[j][1]);

t_tem[i+1][t_src]=j;

t_tem[i+1][t_des]=stachn[j][1];

t_tem[i+1][t_len]=calpath[j]+tem;

t_tem[i+1][t_in]=1;

i=i+2;

}

}

for(k=0;k

staflag[k]=0;

for(k=0;k

staflag[t_tem[k][t_des]]=1;

calpath[t_tem[k][t_des]]=t_tem[k][t_len];

for(j=0;j

path[t_tem[k][t_des]][j]=ljtem[t_tem[k][t_src]][j];

path[t_tem[k][t_des]][pthsym]=t_tem[k][t_in];

}

pthsym++;

}

/*cout<<"初始化后的路径长度"<

for(inti=0;i<8;i++)

cout<

cout<

}

void selpath(int a1,int a2){//16选8

intt_tem[512][5]={0};

inti,j,tem;

intljtem[256][100];

j=0;

for(i=0;i<2*stalen;i=i+2){

tem=calluj(a1,a2,stan0[j][0],stan0[j][1]);

t_tem[i][t_src]=j;

t_tem[i][t_des]=stachn[j][0];

t_tem[i][t_len]=calpath[j]+tem;

t_tem[i][t_flag]=0;

t_tem[i][t_in]=0;

//t_tem[i][t_rep]=0;

tem=calluj(a1,a2,stan1[j][0],stan1[j][1]);

t_tem[i+1][t_src]=j;

t_tem[i+1][t_des]=stachn[j][1];

t_tem[i+1][t_len]=calpath[j]+tem;

t_tem[i+1][t_flag]=0;

t_tem[i+1][t_in]=1;

//t_tem[i][t_rep]=0;

j++;

}

for(i=0;i<2*stalen;i++)

for(j=i+1;j<2*stalen;j++)

if(t_tem[i][t_des]==t_tem[j][t_des]){

if(t_tem[i][t_len]<=t_tem[j][t_len]){

t_tem[i][t_flag]=1;

}

else{

t_tem[j][t_flag]=1;

}

}

for(i=0;i

for(j=0;j

ljtem[i][j]=path[i][j];

for(i=0;i<2*stalen;i++)

if(t_tem[i][t_flag]==1){

calpath[t_tem[i][t_des]]=t_tem[i][t_len];

for(j=0;j

path[t_tem[i][t_des]][j]=ljtem[t_tem[i][t_src]][j];

path[t_tem[i][t_des]][pthsym]=t_tem[i][t_in];

}

if(pthsym>16)

outfull=1;

pthsym++;

}

voidwridata(){

inti,j,icout,equcout;

icout=0;

equcout=0;

for(i=0;i

for(j=0;j

if(path[j][i]==path[j+1][i])

equcout++;

if(equcout==stalen-1){

myout[myoutsym++]=path[0][i];

icout++;

equcout=0;

}

else

break;

}

if(icout!=0){

for(i=0;i

for(j=0;j

path[j][i]=path[j][i+icout];

}

}

pthsym=pthsym-icout;

outfull=0;

}

voidwritdataedn(void){

inti,j;

i=0;

for(j=1;j

if(calpath[i]>calpath[j])

i=j;

for(j=0;j

myout[myoutsym++]=path[i][j];

}

voidviterbit(){

FILE *fp_input,*fp_output;

if(!(fp_input=fopen("D://output.txt","r"))==1){

cout<<"failed to open output.txt"<

exit(0);

}

else

cout<<"we opened the output_file "<

if(!(fp_output=fopen("D://myinput.txt","w+"))==1){ cout<<"failed to open output_file"<

exit(0);

}

else

cout<<"we opened the myinput.txt "<

charch;

int count=0;

inti,j;

charwch;

intwcout=0;

intmybit[8];

ch=fgetc(fp_input);

chartobits(ch,mybit);

for(i=0;i<8;i++)

myin[i]=mybit[i];

while(feof(fp_input)==0){

ch=fgetc(fp_input);

//cout<<"输入"<

/*cout<<"输入数据1为"<

for(temi=0;temi<8;temi++)

cout<

cout<

if(count==0){

chartobits(ch,mybit);

for(i=0;i<8;i++)

myin[i+8]=mybit[i];

initpath();

for(j=myn-1;j<8;j=j++)

selpath(myin[2*j],myin[2*j+1]);

}

else{

chartobits(ch,myin);

for(j=0;j<4;j++)

selpath(myin[2*j],myin[2*j+1]);

}

count++;

if(count==0)

count=1;

//if(outfull==1)

wridata();

if(myoutsym>=8){

wcout=int(myoutsym/8);

for(i=0;i

for(j=0;j<8;j++)

mybit[j]=myout[8*i+j];

wch=bitstochar(mybit);

//cout<<"输出为"<

fputc(wch,fp_output);

}

for(i=0;i

myout[i]=myout[wcout*8+i];

myoutsym=myoutsym-wcout*8;

}

}

writdataedn();

if(myoutsym>=3){

for(i=0;i<8-myoutsym;i++)

myout[myoutsym++]=0;

wch=bitstochar(myout);

fputc(wch,fp_output);

}

fclose(fp_input);

fclose(fp_output);

cout<<"input any integer to end"<

cin>>i;

}

维特比译码程序

(n,k,N)卷积码的维特比译码算法实现#include #define t_src 0 #define t_des 1 #define t_len 2 #define t_flag 3 #define t_in 4 using namespace std; intmyn=0; intstalen=0; int myg1[10]={0}; int myg2[10]={0}; int stan0[256][2]={0};//输入0时个状态的输出 int stan1[256][2]={0};//输入1时各状态的输出intstachn[256][2]={0};//状态装换表 int path[256][100]={0};//存储路径 intcalpath[256]={0};//存储路径长度 intmyin[24]; //一次处理12次 intmyout[200]; // intmyoutsym=0; intpthsym; intoutfull=0; //决定是否输出 int table1[8]={1,2,4,8,16,32,64,128}; voidchartobits(char ch,int *bits); charbitstochar(int *bits); intcalluj(int a1,int a2,int b1,int b2); voidinitpath(void); voidselpath(int a1,int a2); voidwridata(void); voidviterbit(void); voidwritdataedn(void); voidcreatsta(void); voidmyinput(void); int main(){ myinput(); creatsta(); viterbit(); } voidmyinput(void){ inti,j; cout<<"输入编码的约束长度N:(3>myn;

维特比算法仿真

这篇文章简单描述一下Viterbi算法——一年之前我听过它的名字,直到两周之前才花了一点时间研究了个皮毛,在这里做个简单检讨。先用一句话来简单描述一下:给出一个观测序列o1,o2,o3 …,我们希望找到观测序列背后的隐藏状态序列s1, s2, s3, …;Viterbi以它的发明者名字命名,正是这样一种由动态规划的方法来寻找出现概率最大的隐藏状态序列(被称为Viterbi路径)的算法。这里需要抄一点有关隐马可夫序列(HMM,Hidden Markov Model)的书页来解释一下观测序列和隐藏状态序列。 首先从最简单的离散Markov过程入手,我们知道,Markov随机过程具有如下的性质:在任意时刻,从当前状态转移到下一个状态的概率与当前状态之前的那些状态没有关系。所以,我们可以用一个状态转移概率矩阵来描述它。假设我们有n个离散状态S1, S2,…Sn,我们可以构造一个矩阵A,矩阵中的元素aij表示从当前状态Si下一时刻迁移到Sj状态的概率。 但是在很多情况下,Markov模型中的状态是我们观察不到的。例如,容器与彩球的模型:有若干个容器,每个容器中按已知比例放入各色的彩球(这样,选择了容器后,我们可以用概率来预测取出各种彩球的可能性);我们做这样的实验,实验者从容器中取彩球——先选择一个容器,再从中抓出某一个球,只给观察者看球的颜色;这样,每次取取出的球的颜色是可以观测到的,即o1, o2,…,但是每次选择哪个容器是不暴露给观察者的,容器的序列就组成了隐藏状态序列S1, S2,…Sn。这是一个典型的可以用HMM描述的实验。

HMM有几个重要的任务,其中之一就是期望通过观察序列来猜测背后最有可能的隐藏序列。在上面的例子中,就是找到我们在实验中最有可能选择到的容器序列。Viterbi正是用来解决这个问题的算法。HMM另外两个任务是:a) 给定一个HMM,计算一个观测序列出现的可能性;b)已知一个观测序列,HMM参数不定,如何优化这些参数使得观测序列的出现概率最大。解决前一个问题可以用与Viberbi结构非常类似的Forward算法来解决(实际上在下面合二为一),而后者可以用Baum-Welch/EM算法来迭代逼近。 从Wiki上抄一个例子来说明Viterbi算法。 假设你有一个朋友在外地,每天你可以通过电话来了解他每天的活动。他每天只会做三种活动之一——Walk, Shop, Clean。你的朋友从事哪一种活动的概率与当地的气候有关,这里,我们只考虑两种天气——Rainy, Sunny。我们知道,天气与运动的关系如下: Rain y Sunn y Wal k 0.1 0.6 Sho p 0.4 0.3 Clea n 0.5 0.1

第5章(5.8)最大似然序列估计(MLSE)与维特比算法(VA)

5.8 最大似然序列估计(MLSE )与维特比算法(V A ) 引言: 1.最大似然函数准则—在AWGN 或AGN 信道上最佳接收准则。 ∏== N k i k i x y p x p 1 )()(y 2.最大似然序列估计准则—在ISI+AGN (AWGN )信道 或y . {x i 噪声(白,非白) 用K-L 展开式,分解y 任意正交基,分解y y(t)或y max ))((=i x t y p , 判发送i x . i=1,2,…,M 可分解成N 个独立的一维概率密度函数连乘 M 元{x i 统计独立 展开式,分解y

一 最佳接收准则及性能指数 1. 系统模型 2.最佳接收准则——ML 函数准则——MLSE 准则 求似然函数: 在N 维复信号空间中,利用K -L 展开式,在标准正交基(){}t f n 上 ()()∑ =∞→=N k k k N t f z t z 1,lim 特点:k r 的均值与)(t h 所覆盖的若干连续符号(即序列I p )有关。 原因:信道)(t h 弥散效应使相邻符号之间引入相关性。 所以:k r 的统计特性与序列I p 有关。 则似然函数为 1(()|)(|)(|) N k k p r t p p r ===∏p N p p I r I I ??? ? ?????? ? ?--= ∑∑∏ =-=N k n n k n k k N k k h I r 12 1 21 exp 21 λπλ }n I ()()()t z nT t h I t r n n +-=∑ 问题:在非白噪声及ISI 中的最佳接收 {I n ()k k N z λ,0~ 统计独立高斯变量 ()(),lim 1 t f r t r k N k k N ∑=∞ →= ?? ? ? ?∑-n k n k n k h I N r λ,~ 统计独立高斯变量 式中, ∑+=-n k n k n k z h I r

Viterbi译码的Matlab实现

2010年12月(上) Viterbi 译码的Matlab 实现 张慧 (盐城卫生职业技术学院,江苏盐城 224006) [摘要]本文主要介绍了Viterbi 译码是一种最大似然译码算法,是卷积编码的最佳译码算法。本文主要是以(2,1,2)卷积码为例,介 绍了Viterbi 译码的原理和过程,并用Matlab 进行仿真。[关键词]卷积码;Viterbi 译码 1卷积码的类型 卷积码的译码基本上可划分为两大类型:代数译码和概率译码,其中概率译码是实际中最常采用的卷积码译码方法。 2Viterbi 译码 Viterbi 译码是由Viterbi 在1967年提出的一种概率译码,其实质是最大似然译码,是卷积码的最佳译码算法。它利用编码网格图的特殊结构,降低了计算的复杂性。该算法考虑的是,去除不可能成为最大似然选择对象的网格图上的路径,即,如果有两条路径到达同一状态,则具有最佳量度的路径被选中,称为幸存路径( surviving path )。对所有状态都将进行这样的选路操作,译码器不断在网格图上深入,通过去除可能性最小的路径实现判决。较早地抛弃不可能的路径降低了译码器的复杂性。 为了更具体的理解Viterbi 译码的过程,我们以(2,1,2)卷积码为例,为简化讨论,假设信道为BSC 信道。译码过程的前几步如下:假定输入数据序列m ,码字U ,接收序列Z ,如图1所示,并假设译码器确知网格图的初始状态。 图1 时刻t 1接收到的码元是11,从状态00出发只有两种状态转移方向,00和10,如图a 所示。状态转换的分支量度是2;状态转换的分支径量度是0。时刻t 2从每个状态出发都有两种可能的分支,如图b 所示。这些分支的累积量度标识为状态量度┎a ,┎b ,┎c ,┎d ,与各自的结束状态相对应。同样地,图c 中时刻t 3从每个状态出发都有两个分支,因此,时刻时到达每个状态的路径都有两条,这两条路径中,累积路径量度较大的将被舍弃。如果这两条路径的路径量度恰好相等,则任意舍弃其中一条路径。到各个状态的幸存路径如图d 所示。译码过程进行到此时,时刻t 1和t 2之间仅有一条幸存路径,称为公共支(com-mon stem )。因此这时译码器可以判决时刻t 1和t 2之间的状态转移是00→10;因为这个状态转移是由输入比特1产生的,所以译码器输出1作为第一位译码比特。由此可以看出,用实线表示输入比特0,虚线表示输入比特1,可以为幸存路径译码带来很大的便利。注意,只有当路径量度计算进行到网格图较深处时,才产生第一位译码比特。在典型的译码器实现中,这代表了大约是约束长度5倍的译码延迟。 图2幸存路径选择 在译码过程的每—步,到达每个状态的可能路径总有两条,通过比较路径量度舍弃其中一条。图e 给出了译码过程的下一步:在时刻t 5到达各个状态的路径都有两条,其中一条被舍弃;图f 是时刻t 5的幸存路径。注意,此例中尚不能对第二位输入数据比特做出判决,因为在时刻t 2离开状态10的路径仍为两条。图g 中的时刻t 6同样有路径合并,图h 是时刻t 6的幸存路径,可见编码器输出的第二位译码比特是1,对应了时刻t 2和t 3之间的幸存路径。译码器在网格图上继续上述过程,通过不断舍弃路径直至仅剩一条,来对输入数据比特做出判决。 网格图的删减(通过路径的合并)确保了路径数不会超过状态数。对于此例的情况,可证明在图b 、d 、f 、h 中,每次删减后都只有4条路径。而对于未使用维特比算法的最大似然序列彻底比较法,其可能路径数(代表可能序列数)是序列长度的指数函数。对于分支字长为L 的二进制码字序列,共有2L 种可能的序列。下面我们用Matlab 函数viterbi (G,k,channel_output )来产生输入序列经Viterbi 译码器得到的输出序列,并将结果与输入卷积码编码器的信息序列进行比较。在这里,G =g ,k=k0,channel_output=output 。用Matlab 函数得到的译码输出为10011100110000111,这与我们经过理论分析得出的结果是一致的。 我们用subplot 函数将译码器最终的输出结果与(下转第261页) 250

DSP卷积码的维特比译码的分析与实现

编号: 《DSP技术与应用》课程论文卷积码的维特比译码的分析与实现 论文作者姓名:______ ______ 作者学号:___ ______ 所在学院: 所学专业:_____ ___ 导师姓名职称:__ _ 论文完成时间: _

目录 摘要: (1) 0 前言 (2) 1 理论基础 (2) 1.1信道理论基础 (2) 1.2差错控制技术 (3) 1.3纠错编码 (4) 1.4线性分组码 (5) 2 卷积码编码 (7) 2.1 卷积码概要 (7) 2.2 卷积码编码器 (8) 2.3卷积码的图解表示 (8) 2.4 卷积码的解析表示 (11) 3 卷积码的译码 (14) 3.1 维特比译码 (15) 3.2 代数译码 (17) 3.3 门限译码 (18) 4 维特比译码器实现 (18) 4.1 TMS320C54 系列DSP概述 (18) 4.2 Viterbi译码器的DSP实现 (19) 4.3 实现结果 (21) 5 结论 (21) 参考文献 (22) II

卷积码的维特比译码的分析与实现 摘要: 针对数据传输过程中的误码问题,本文论述了提高数据传输质量的一些编码及译码的实现问题。自P.Elias 首次提出卷积码编码以来,这一编码技术至今仍显示出强大的生命力。在与分组码同样的码率R 和设备复杂性的条件下,无论从理论上还是从实际上均己证明卷积码的性能至少不比分组码差,且实现最佳和准最佳译码也较分组码容易。目前,卷积码已广泛应用在无线通信标准中,其维特比译码则利用码树的重复性结构,对最大似然译码算法进行了简化。本文所做的主要工作: 首先对信道编码技术进行了研究,根据信道中可能出现的噪声等问题对卷积码编码方法进行了主要阐释。 其次,对卷积码维特比译码器的实现算法进行了研究,完成了译码器的软件设计。 最后,结合实例,采用DSP芯片实现卷积码的维特比译码算法的仿真和运行。 关键词: 卷积码维特比译码DSP Convolutional codes and Viterbi decoding analysis and realization Zhang Yi-Fei (School of Physics and Electronics, Henan University, Henan Kaifeng 475004, China) Abstract: Considering the error bit problem during data transmission,this thesis discussed some codings and decoders,aiming at enhancing transmission performance. From P.Elias first gave the concept of convolutional code, it has show its’ great advantage. Under the same condition and the same rate of block code, the performance of convolutional code is better than block code, and it’s easier to implement the best decoding.Convolutional codes have been widely used in wireless communication standards, the V iterbi decoding using the repetitive structure of the code tree, the maximum likelihood decoding algorithm has been simplified. Major work done in this article: First, the channel coding techniques have been studied, the main interpretation of the convolutional code encoding method according to the channel may be noise and other issues. Secondly, the convolutional code V iterbi decoder algorithm has been studied, the software design of the decoder. Finally, with examples, simulation and operation of the DSP chip convolutional codes, Viterbi decoding algorithm. 1

Viterbi译码的MATLAB仿真研究

BUPT 卷积码编码及Viterbi译码 班级:07114 学号:070422 姓名:吴希龙 指导老师:彭岳星 邮箱:FusionBupt@https://www.360docs.net/doc/036290653.html,

1. 序言 卷积码最早于1955年由Elias 提出,稍后,1957年Wozencraft 提出了一种有效地译码方法即序列译码。1963年Massey 提出了一种性能稍差但是比较实用的门限译码方法,使得卷积码开始走向实用化。而后1967年Viterbi 提出了最大似然译码算法,它对存储级数较小的卷积码很容易实现,被称作Viterbi 译码算法,广泛的应用于现代通信中。 2. 卷积码编码及译码原理 2.1 卷积码编码原理 卷积码是一种性能优越的信道编码,它的编码器和解码器都比较易于实现,同时还具有较强的纠错能力,这使得它的使用越来越广泛。卷积码一般表示为(n,k,K)的形式,即将k 各信息比特编码为n 个比特的码组,K 为编码约束长度,说明编码过程中相互约束的码段个数。卷积码编码后的n 各码元不经与当前组的k 个信息比特有关,还与前K-1个输入组的信息比特有关。编码过程中相互关联的码元有K*n 个。R=k/n 是编码效率。编码效率和约束长度是衡量卷积码的两个重要参数。典型的卷积码一般选n,k 较小,但K 值可取较大(>10),以获得简单而高性能的卷积码。 卷积码的编码描述方式有很多种:冲激响应描述法、生成矩阵描述法、多项式乘积描述法、状态图描述,树图描述,网格图描述等。 2.1.1 卷积码解析表示法 卷积码的解析表示发大致可以分为离散卷积法,生成矩阵法,码多项式法。下面以离散卷积为例进行说明。 卷积码的编码器一般比较简单,为一个具有k 个输入端,n 个输出端,m 级移位寄存器的有限状态有记忆系统。下图所示为(2,1,7)卷积码的编码器。 若输入序列为u =(u 0u 1u 2u 3……), 则对应两个码字序列c ①=(c 0①c 1①c 2①c 3①……)和c ②=(c 0②c 1②c 2②c 3② ……) 相应的编码方程可写为c ①=u ?g ①,c ②=u ?g ②,c=(c ①,c ②)。 “?” 符号表示卷积运算,g ①,g ②表示编码器的两个冲激响应,即编码器的输出可以由输入序列和编码器的两个冲击响应卷积而得到,故称为卷积码。这里的冲激响应指:当输入为[1 0 0 0 0 … … ]序列时,所观察到的两个输出序列值。由于上图K 值为7,故冲激响应至

卷积码编码和维特比译码

卷积码编码维特比译码实验设计报告 SUN 一、实验目的 掌握卷积码编码和维特比译码的基本原理,利用了卷积码的特性, 运用网格图和回溯以得到译码输出。 二、实验原理 1.卷积码是由连续输入的信息序列得到连续输出的已编码序列。其编码器将k个信息码元编为n个码元时,这n个码元不仅与当前段的k个信息有关,而且与前面的(m-1)段信息有关(m为编码的约束长度)。 2.一般地,最小距离d表明了卷积码在连续m段以内的距离特性,该码可以在m个连续码流内纠正(d-1)/2个错误。卷积码的纠错能力不仅与约束长度有关,还与采用的译码方式有关。 3. 维特比译码算法基本原理是将接收到的信号序列和所有可能的发送信号序列比较,选择其中汉明距离最小的序列认为是当前发送序列。卷积码的Viterbi 译码是根据接收码字序列寻找编码时通过网格图最佳路径的过程,找到最佳路径即完成了译码过程,并可以纠正接收码字中的错误比特。 4.所谓“最佳”, 是指最大后验条件概率:P( C/ R) = max [ P ( Cj/ R) ] , 一般来说, 信道模型并不使用后验条件概率,因此利用Beyes 公式、根据信道特性出结论:max[ P ( Cj/ R) ]与max[ P ( R/ Cj) ]等价。考虑到在系统实现中往往采用对数形式的运算,以求降低运算量,并且为求运算值为整数加入了修正因子a1 、a2 。令M ( R/ Cj) = log[ P ( R/ Cj) ] =Σa1 (log[ P( Rm/ Cmj ) ] + a2) 。其中, M 是组成序列的码字的个数。因此寻找最佳路径, 就变成寻找最大M( R/ Cj) , M( R/ Cj) 称为Cj 的分支路径量度,含义为发送Cj 而接收码元为R的似然度。 5.卷积码的viterbi译码是根据接收码字序列寻找编码时通过网格图最佳路径的过程,找到最佳路径即完成了译码过程并可以纠正接收码字中的错误比特。 三、实验代码 #include<> #include "" #define N 7 #include "" #include <> #include<> #define randomize() srand((unsigned)time(NULL)) encode( unsigned int *symbols, /*编码输出*/ unsigned int *data, /*编码输入*/ unsigned int nbytes, /*nbytes=n/16,n为实际输入码字的数目*/ unsigned int startstate /*定义初始化状态*/

Viterbi算法与实现

Viterbi算法 Viterbi算法是一种动态规划算法,用来寻找由观测信息产生(Observed Event)的最可能隐状态序列(Viterbi路径),这种方法通常用在隐马尔可夫模型中。向前算法是一个类似的算法,用来计算一串观测事件发生的概率。这些算法都属于信息论的范畴。 这个算法做一连串的假设。首先,观测事件和隐事件必须处于序列中。这个序列通常是关于时间的。第二,这两个序列需要对应,一个观测事件的实例必须与一个隐事件相关联。第三,计算在特定时间点t的最可能隐序列必须只依赖于位于t的观测事件,和t-1处的最可能序列。这些假设在一阶隐马尔可夫模型中都要被满足。 Viterbi路径和Viterbi算法同时遵循寻找单一最可能观测解释的相关动态规划算法。例如,在统计分析中的动态规划算法能应用于寻找一个字符串的单个最相似上下文无关推导,即“Viterbi推导”。 Viterbi算法是由Andrew Viterbi 在1967年提出的,是一种用于有噪声的数据链路中错误纠正的模型,并广泛应用在卷积码的解码中,例如CDMA/GSM数字蜂窝,拨号调制解调器,卫星通信,深空通信和802.11无线局域网等。现在也广泛的应用在语言理解,关键词匹配,计算机语言学,生物信息学等。例如,在语音理解中,听觉信号被认为是观测事件的序列,文字串被认为是“潜在的原因”。Viterbi算法能够找到对应听觉信号的最可能文字序列。 概要 前面提到的假设可以被如下概括。Viterbi算法在一个状态机的假设上做操作。也就是说,在任何时间系统被抽象为一些状态。这些状态是有限的,尽管很大。每个状态被表示为一个节点。多个状态的序列(路径)往往都能产生同一个给定的状态,但其中只有一条是最可能产生这个状态的,被称作“生存路径”。这是一个最基础的假设,因为这个算法会检测所有的可能路径并只保留一个最可能的路径。这种策略并不需要计算所有的路径,只需要一个状态一个路径而已。 第二个关键的假设是前一个状态到一个新状态的转移被一个递增的度量描述,通常是一个数字。这种转移是从实践中计算而来的。第三个假设是事件在一个路径上是累加的。所以整个算法的关键是计算每个状态的数值。当发生了一个事件,算法结合上一个可能状态与转换产生的增量度量,并从中选择一个最优的,据此来检测向前的新状态。增量度量由事件触发,并由旧状态与新状态间的转换决定。例如,在数据交换中,可能发生一半的符号由奇状态转换,而另一半由偶状态开始转换。同时,在很多例子中,状态转换图是不连续的。一个简单的例子,一个汽车有三个状态,向前,停止和向后,状态从向前倒向后是不允许的。他必须先进入停止状态。在计算出增量度量和和状态度量后,只有最好的幸存,而其他的被舍弃。这种基础算法有一个改进,允许向前搜索和向后搜索。

动态规划:卷积码的Viterbi译码算法

动态规划:卷积码的Viterbi译码算法 学院:网研院?姓名:xxx 学号:xxx一、动态规划原理 动态规划(dynamic programming)是运筹学的一个分支,是求解决策过程(decision process)最优化的数学方法。动态规划算法通常用于求解具有某种最优性质的问题。在这类问题中,可能会有许多可行解,每一个解都对应于一个值,我们希望找到具有最优值的解。动态规划算法与分治法类似,其基本思想也是将待求解问题分解成若干个子问题,先求解子问题,然后从这些子问题的解得到原问题的解。与分治法不同的是,适合于用动态规划求解的问题,经分解得到子问题往往不是互相独立的。若用分治法来解这类问题,则分解得到的子问题数目太多,有些子问题被重复计算了很多次。如果我们能够保存已解决的子问题的答案,而在需要时再找出已求得的答案,这样就可以避免大量的重复计算,节省时间。 动态规划程序设计是对解最优化问题的一种途径、一种方法,而不是一种特殊算法。不象搜索或数值计算那样,具有一个标准的数学表达式和明确清晰的解题方法。动态规划程序设计往往是针对一种最优化问题,由于各种问题的性质不同,确定最优解的条件也互不相同,因而动态规划的设计方法对不同的问题,有各具特色的解题方法,而不存在一种万能的动态规划算法,可以解决各类最优化问题。 二、卷积码的Viterbi译码算法简介 在介绍维特比译码算法之前,首先了解一下卷积码编码,它常常与维特比译码结合使用。(2,1,3)卷积码编码器是最常见的卷积码编码器,在本次实验中也使用了(2,1,3)卷积码编码器,下面介绍它的原理。 (2,1,3)卷积码是把信源输出的信息序列,以1个码元为一段,通过编码器输出长为2的一段码段。该码段的值不仅与当前输入码元有关,而且也与其之前的2个输入码元有关。如下图所示,输出out1是输入、第一个编码器存储的值和第二个编码器存储的值逻辑加操作的结果,输出out2是输入和第二个编码器存储的值逻辑加操作的结果。 (2,1,3)卷积码编码器

卷积码的维特比译码原理及仿真

卷积码的维特比译码原理及仿真 摘 要 本课程设计主要解决对一个卷积码序列进行维特比(Viterbi)译码输出,并通过Matlab 软件进行设计与仿真,并进行误码率分析。 实验原理 QPSK :QPSK 是英文QuadraturePhaseShiftKeying 的缩略语简称, 意为正交相移键控,是一种数字调制方式。四相相移键控信号简称“QPSK ”。它分为绝对相移和相对相移两种。 卷积码:又称连环码,是由伊莱亚斯(P.elias)于1955年提出来的一种非分组码。积码将k 个信息比特编成n 个比特,但k 和n 通常很小,特别适合以串行形式进行传输,时延小。卷积码是在一个滑动的数据比特序列上进行模2和操作,从而生成一个比特码流。卷积码和分组码的根本区别在于,它不是把信息序列分组后再进行单独编码,而是由连续输入的信息序列得到连续输出的已编码序列。卷积码具有误码纠错的能力,首先被引入卫星和太空的通信中。NASA 标准(2,1,6)卷积码生成多项式为: 346 1345 6 2()1()1g D D D D D g D D D D D =++++=++++ 其卷积编码器为: 输入序列 + + 输出c1 输出c2 图1.1 K=7,码率为1/2的卷积码编码器

维特比译码:采用概率译码的基本思想是:把已接收序列与所有可能的发送序列做比较,选择其中码距最小的一个序列作为发送序列。如果接收到L 组信息比特,每个符号包括v 个比特。接收到的Lv 比特序列与2L 条路径进行比较,汉明距离最近的那一条路径被选择为最有可能被传输的路劲。当L 较大时,使得译码器难以实现。维特比算法则对上述概率译码做了简化,以至成为了一种实用化的概率算法。它并不是在网格图上一次比较所有可能的2kL 条路径(序列),而是接收一段,计算和比较一段,选择一段最大似然可能的码段,从而达到整个码序列是一个最大似然值得序列。 下面以图2.1的(2,1,3)卷积码编码器所编出的码为例,来说明维特比解码的方法和运作过程。为了能说明解码过程,这里给出该码的状态图,如图2.2所 示。维特比译码需要利用图来说明移码过程。根据卷积码画网格的方法,我们可以画出该码的网格图,如图2.3所示。该图设接收到的序列长度为8,所以画8个时间单位,图中分别标以0至7。这里设编码器从a 状态开始运作。该网格图的每一条路径都对应着不同的输入信息序列。由于所有可能输入信息序列共有2kL 个,因而网格图中所有可能的路径也为2L 条。这里节点a=00,b=10,c=01,d=11。 m j m j-1 m j-2 输出序列 m 1,m 2,…m j ,… y 1j y 2j 输入序列 00 a d 10 c b 11 00 11 01 01 10 图2.1 (2,1,3)卷积码编码器 图2.2 (2,1,3)卷积码状态图

Viterbi译码程序代码

译码主要部分 #include"stdafx.h" //#define DEBUG void deci2bin(int d, int size, int *b); int bin2deci(int *b, int size); int nxt_stat(int current_state, int input, int *memory_contents); void init_quantizer(void); void init_adaptive_quant(float es_ovr_n0); int soft_quant(float channel_symbol); int soft_metric(int data, int guess); int quantizer_table[256]; void sdvd(int g[2][K], float es_ovr_n0, long channel_length, float*channel_output_vector, int *decoder_output_matrix) { int i, j, l, ll; //循环控制变量 long t; //时间 int memory_contents[K]; //记录输入内容 int input[TWOTOTHEM][TWOTOTHEM]; //对当前状态以及下一个状态映射 int output[TWOTOTHEM][2]; //卷积码编码输出矩阵 int nextstate[TWOTOTHEM][2]; //下一个状态矩阵 int accum_err_metric[TWOTOTHEM][2]; //误差累计矩阵 int state_history[TWOTOTHEM][K * 5 + 1]; //历史状态表 int state_sequence[K * 5 + 1]; //状态序列 int *channel_output_matrix; //信道输出序列 int binary_output[2]; int branch_output[2]; //0或者1的输出分支 int m, n, number_of_states, depth_of_trellis, step, branch_metric, sh_ptr, sh_col, x, xx, h, hh, next_state, last_stop; n = 2; //1/2为卷积码传输数据的码率 m = K - 1;//寄存器个数 number_of_states = (int)pow(2.0, m);//状态个数number of states = 2^(K - 1) = 2^m depth_of_trellis = K * 5; for (i = 0; i < number_of_states; i++)

一种卷积码维特比译码算法的软件实现

一种卷积码维特比译码算法的软件实现Ξ 张海勇1) 刘文予1) 芦东昕2) 吴 畏2) (华中科技大学电子与信息工程系1) 武汉 430074) (中兴通讯股份有限公司2) 深圳 518057) 摘 要 提出了数字通信系统中一种卷积码译码的软件实现方案,该方案应用软件技术实现了卷积码维特比译码器功能,在程序实现中充分利用了卷积码的特性,运用蝶形运算,周期性的回溯以得到译码输出。在程序设计上采用了一些宏定义等处理方法,可以提升运算速度,是一种软件方法的前向纠错编码技术。 关键词:卷积码 维特比译码算法 蝶形运算 回溯 中图分类号:TP31 A Soft w are Implementation of Viterbi Decoding Algorithm Zhang H aiyong1) Liu Wenyu1) Lu Dongxin2) Wu Wei2) (Dept.of Electronics&Information Engineering1),HUST,Wuhan430074) (ZTE Corporation2),Shenzhen518057) Abstract:A software implementation of a channel coding technology is presented,which realizes the functions of convolution2 al coding and Viterbi decoding.According to convolutional codes feature,this software uses butterfly algorithm which is defined as a macro,periodically traces back to get the decoding output,we also use some other methods in the program,can speed up the al2 gorithm,which belongs to a forward error correction coding technology. K ey w ords:convolutional code,Viterbi decoding algorithm,butterfly algorithm,trace back Class number:TP31 卷积码是由伊莱亚斯(Elias)于1954年首先提出来的。它充分利用了各组之间的相关性,本组的信息元不但决定本组的监督元,而且也参与决定以后若干组的监督元。同时在译码过程中,不但从该时刻所收到的码组中提取译码信息,而且还利用以后若干时刻内所收到的码组来提取有关信息。无论从理论上还是实际上均已证明其性能不差于分组码。在一些采用了前向纠错的系统里,如GS M/CDM A通信系统、卫星与空间通信系统里广泛采用了卷积码[1]。 卷积码译码器的设计是由高性能的复杂译码器开始的,如最初的序列译码,随着译码约束长度的增加,译码错误概率可达到非常小。后来慢慢地向低性能的简单译码器演化,对不太长的约束长度,维特比(V iterbi)算法是非常实用的。维特比算法是一种最大似然的译码方法。当编码约束度不太大(小于等于10)或者误码率要求不太高(约10-5)时[2],它的设备比较简单,用硬件译码计算速度很快。本文将给出一种用软件实现卷积码维特比译码算法的设计方法,针对译码中计算量最多的蝶形运算,采用宏定义的方式,并在计算度量长度时采用双数组计算,能够加快译码计算速度。 1 卷积码编码器的参数分析 卷积码把信源输出的信息序列以每段k0个码元进行分段,通过编码器输出长为n0的一个码段,该段(n0-k0)个校验元不仅与本段信息元有关,还与其前面m段信息元有关。卷积码可以用(n0,k0,K)表示,其中(K=m+1)为约束长度,串联的移位寄存器的数目以m表示,一个信息 Ξ收到本文时间:2004年12月2日

“维特比”(Viterbi)算法

隐马尔可夫模型中的Viterbi算法 2008年1月24日 这篇文章简单描述一下Viterbi算法——一年之前我听过它的名字,直到两周之前才花了一点时间研究了个皮毛,在这里做个简单检讨。先用一句话来简单描述一下:给出一个观测序列o1,o2,o3 …,我们希望找到观测序列背后的隐藏状态序列s1, s2, s3, …;Viterbi以它的发明者名字命名,正是这样一种由动态规划的方法来寻找出现概率最大的隐藏状态序列(被称为Viterbi路径)的算法。 这里需要抄一点有关隐马可夫序列(HMM,Hidden Markov Model)的书页来解释一下观测序列和隐藏状态序列。 首先从最简单的离散Markov过程入手,我们知道,Markov随机过程具有如下的性质:在任意时刻,从当前状态转移到下一个状态的概率与当前状态之前的那些状态没有关系。所以,我们可以用一个状态转移概率矩阵来描述它。假设我们有n个离散状态S1, S2,…Sn,我们可以构造一个矩阵A,矩阵中的元素aij表示从当前状态Si下一时刻迁移到Sj状态的概率。 但是在很多情况下,Markov模型中的状态是我们观察不到的。例如,容器与彩球的模型:有若干个容器,每个容器中按已知比例放入各色的彩球(这样,选择了容器后,我们可以用概率来预测取出各种彩球的可能性);我们做这样的实验,实验者从容器中取彩球——先选择一个容器,再从中抓出某一个球,只给观察者看球的颜色;这样,每次取取出的球的颜色是可以观测到的,即o1, o2,…,但是每次选择哪个容器是不暴露给观察者的,容器的序列就组成了隐藏状态序列S1, S2,…Sn。这是一个典型的可以用HMM描述的实验。 HMM有几个重要的任务,其中之一就是期望通过观察序列来猜测背后最有可能的隐藏序列。在上面的例子中,就是找到我们在实验中最有可能选择到的容器序列。Viterbi正是用来解决这个问题的算法。HMM另外两个任务是:a) 给定一个HMM,计算一个观测序列出现的可能性;b)已知一个观测序列,HMM参数不定,如何优化这些参数使得观测序列的出现概率最大。解决前一个问题可以用与Viberbi结构非常类似的Forward算法来解决(实际上在下面合二为一),而后者可以用Baum-Welch/EM算法来迭代逼近。 从Wiki上抄一个例子来说明Viterbi算法。 假设你有一个朋友在外地,每天你可以通过电话来了解他每天的活动。他每天只会做三种活动之一——Walk, Shop, Clean。你的朋友从事哪一种活动的概率与当地的气候有关,这里,我们只考虑两种天气——Rainy, Sunny。我们知道,天气与运动的关系如下: Rainy Sunny Walk 0.1 0.6 Shop 0.4 0.3 Clean 0.5 0.1 例如,在下雨天出去散步的可能性是0.1。

卷积码编码和维特比译码的原理、性能与仿真分析

卷积码编码和维特比译码的原理、性能与仿真分析 1.引言 卷积码的编码器是由一个有k位输入、n位输出,且具有m位移位寄存器构成的有限状态的有记忆系统,通常称它为时序网络。编码器的整体约束长度为v,是所有k个移位寄存器的长度之和。具有这样的编码器的卷积码称作[n,k,v]卷积码。对于一个(n,1,v)编码器,约束长度v等于存储级数m.卷积码是由k个信息比特编码成n(n>k)比特的码组,编码出的n比特码组值不仅与当前码字中的k个信息比特值有关,而且与其前面v个码组中的v*k个信息比特值有关。 卷积码有三种译码方式:序列译码、门限译码和概率译码。其中,概率译码根据最大似然译码原理在所有可能路径中求取与接收路径最相似的一条路径,具有最佳的纠错性能,维特比译码是概率译码中极重要的一种方式。 序列译码和门限译码则不一定能找出与接收路径最相似的一条路径。不同于维特比译码,门限译码与序列译码所需的计算量是可变的且对于给定信息分组的最终判决仅仅基于(m+1)个接收分组,而不是基于整个接收序列。 与维特比译码所使用的对数似然量度不同,序列译码所使用的量度为Fano量度。在接收序列受扰严重的情况下,序列译码的计算量大于维特比译码所需的固定计算量,虽然序列译码要求的平均计算次数通常小于维特比译码。在采用并行处理的情况下,维特比译码的速度会优于序列译码。在同样码率和存储级数的条件下,门限译码的性能比维特比译码低大约3dB. 维特比译码的数据输出方式有硬判决及软判决两种方式,本文选取生成多项式为561,753的(2,1,8)卷积码对硬判决的性能进行分析,并依据维特比译码的原理以及卷积码的特性,对卷积码编码和维特比译码过程在加性高斯白噪声(AWGN)信道下进行仿真,并且根据仿真结果对维特比译码(硬判决)的结果进行分析。由于卷积码的生成可以看做一个马尔科夫过程,因此,不同状态间的转移概率对描述这个过程有极关键的作用。本文则基于MATLAB对不同状态间的转移概率进行求解,从而更准确地分析维特比译码的性能。仿

维特比译码

维特比译码的介绍与仿真实现 维特比译码是将接收到的序列和所有可能的发送序列作比较,选择其中汉明距离最小的序列当作是现在的发送序列的一种算法。译码器从某个状态,例如从状态出发,每次向右延伸一个分支,并与接收数字相应分支进行比较,计算它们之间的距离,然后将计算所得距离加到被延伸路径的累积距离值中。对到达每个状态的各条路径的距离累积值进行比较,保留距离值最小的一条路径,称为幸存路径(当有两条以上取最小值时,可任取其中之一)。这种算法所保留的路径与接收序列之间的似然概率为最大,所以又称为最大似然译码。 所以,维特比译码的过程可以简单的理解为:接收端使用相同的网格图,从同一状态开始猜测发送端可能的编码序列,然后与接收到的码组比较,其中与接收到的码组最近的猜测序列即使为译码序列,也就是码距最小的序列。 设卷积码为(n, k, m) = (3, 1, 2)码,如下图:

那么对应的网格图为上图所示。 由网格图可见,沿路径每一级有4种状态a, b, c和d。每种状态只有两条路径可以到达。故4种状态共有8条到达路径。比较网格图中的这8条路径和接收序列之间的汉明距离。比较到达每个状态的两条路径的汉明距离,将距离小的一条路径保留,也就是幸存路径。这样,就剩下4条路径了。继续考察接收序列中的后继的比特,最后得出总的汉明距离最小的路径,也就是发送序列。 假设现在的发送信息位为1101 编码后的发送序列:111 110 010 100 001 011 000 接收序列:111 010 010 110 001 011 000 (红色为错码) 发送序列的约束长度为N = m + 1 = 3 最后的幸存路径画出的网格图示于下图中,图中粗线路径是距汉明离最小(等于2)的路径。 在上例中卷积码的约束长度为N = 3,需要存储和计算8条路径的参量。由此可见,维特比算法的复杂度随约束长度N按指数形式2N增长。故维特比算法适合约束长度较小(N 10)的编码。 卷积码的维特比译码是根据接收码字序列寻找编码时通过网格图最佳路径的过程,找到最佳路径即完成了译码过程,并可以纠正接收码字中的错误比特。维特比译码算法步骤如下描述: 1)根据接收码符号R,计算出相应的分支量度值BM(R/ Cj),j = 1 、2; 2)进入某一状态的2 条分支量度BM (R/ Cj)与其前状态路径量度PM累加 求和;

数字通讯中的维特比译码和Turbo码

译码器在数字通信中的应用 摘要:译码器可以用来实现组合电路,也可以用来实现码制转换。译码器就是把种代码转换为另一种代码的电路。随着现代电子技术的发展,译码器作为最基本的电子元器件之一,已广泛应用于数字通信系统中。 关键词:译码器,数字通信,维特比译码,Turbo码 1 引言 在数字电路中,能够实现译码功能的逻辑部件称为译码器(Decod6r)。实际上,译码器就是把一种代码转换为另一种代码的电路。译码器是一种组合逻辑电路。它的输入代码的组合将在某一个输出端产生特定的信号。译码是编码的逆过程,在编码时,每一种二进制代码状态都赋予了特定的含义,即都表示了一个确定的信号或者对象。把代码状态的特定含义翻译出来的过程称为译码,实现译码操作的电路称为译码器,或者说译码器是将输入二进制代码的状态翻译成输出信号,以表示其原来含义的电路。实际上,译码器就是把种代码转换为另一种代码的电路。随着现代电子技术的发展,译码器作为最基本的电子元器件之一,其应用领域越来越广泛,尤其是数字通信中的应用。 2原理 (1)译码器的原理 译码器的原理:用来表示输入变量状态的译码器是一种二进制译码器,输入输出代码之间的关系可由真值表表示。n个输入代码就有2n个输入状态,因此译码器就有2n个输出和输入状态相对应。每个输出的特定电位状态表示输入代码的一种组合。 (2)数字通信系统 数字通信系统是利用数字信号来传递信息的通信系统。如下图所示,数字通信主要涉及信编码和译码,信道编码与译码,同步及加密等等。 通信系统中信道编码的目的是增强数字信号的抗干扰能力。接受端的信道译码器按相应的逆规则进行解码,从中发现错误或纠正错误,提高通信系统的可靠性。纠错编码的基本思想是:在编码过程中,通过给所传输的信息设置附加的校验位,即增加其冗余度,使原来无规律或规律性不强的一组信息具有某种相关性;接收信息时再依据这种相关性译码,使编码信息具有检测或纠错性能,而用来检测或纠错的冗余码被称为纠错码。 (3)差错控制

相关文档
最新文档