微型计算机原理与接口 练习题1

微型计算机原理与接口 练习题1
微型计算机原理与接口 练习题1

五、阅读程序

1.阅读下列程序段,回答问题

IN AL,82H

XOR AH,AH

ADD AX,AX

MOV BX,AX

MOV CX,2

SHL BX,CL

ADD AX,BX

①程序段的功能是什么?

②若从82H端口读入的数据为05H,执行程序段后AX=?

①程序段的功能是将从82H端口读入的值乘以10,②32H

2.读下列程序段,分析它所实现的功能。

DATA SEGMENT

GRAY DB 18H,34H,05H,06H,09H

DB 0AH,0CH,11H,12H,14H

COUNT EQU 5

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA

START:MOV AX,DATA

MOV DS,AX

MOV BX,OFFSET GRAY

MOV CX,COUNT

CYCLE:IN AL,01H

XLAT

OUT 02

程序的功能是:根据外设端口(01H)送来的数据,从GRAY表中查出对应的代码,回送到外设的02H端口,共取五次。

1.采用无条件传送方式,编写一个程序段,从端口210H读入50个

字节数据,存入以BUFF开始的50个连续的存储单元中。

LEA BX,BUFF

MOV DX,210H

MOV CX,50

L1:IN AL,DX

MOV [BX],AL

INC BX

LOOP L1

2.利用查询方式编写一个程序段,从端口320H读入100个字节数

据存入以ARRAY开始的100个连续的存储单元中,设查询状态口的地址为310H,查询D7为1时,为准备好。

LEA BX,ARRAY

MOV CX,100

LP0: MOV DX,310H

IN AL,DX

TEST AL,80H

JZ LP0

MOV DX,320H

IN AL,DX

MOV [BX],AL

INC BX

LOOP LP0

四、简答题

1.简述EU和BIU的主要功能?

EU的主要功能是执行指令,完成指令规定的所有操作。BIU的主要功能是负责与存储器或I/O端口的信息传输。它们可以并行操作。

2.8086和8088的主要区别是什么?

8086BIU中指令队列长度是6B,一旦指令队列空出2B,BIU将自动

读取指令。而8088BIU中指令队列长度是4B,只要指令队列出现一个空闲字节,BIU都将自动读取指令。8088BIU与外部交换数据的总线宽度是8位,总线控制电路与专用寄存器间的数据总线也是8位,在EU内部则是16位。而8086CPU则都是16位的。

四、编程题

1. 电路结果如图所示,若要求8个发光二极管L0~L7依次点亮,问:

①图中8255A中的三个端口各工作在什么方式下?

②给出初始化的程序段

③编制出能实现题目要求的汇编语言源程序。

①均工作在方式0下

②MOV DX,183H

MOV AL,80H (或80-8FH)

OUT DX,AL

③START: MOV AL,0FEH

MOV DX,180H

SS1: OUT DX,AL

SHL AL,1

JNC START

JMP SS1

2. 电路结果如图所示,若要求每按一次K,LED0~LED7改变一次状态,问:

①图中8255A中的三个端口各工作在什么方式下?

②给出相关的初始化的程序段。

③编制出能实现题目要求的汇编语言源程序。

①A口方式0,B口方式1,输出,

②MOV DX,20BH

MOV AL,84H

OUT DX,AL

③START: MOV AH,0FEH

SS1: MOV DX,20AH

AGAIN: IN AL,DX

TEST AL,04H

JZ AGAIN

MOV DX,208H

3.255A口A地址为200H,要使口A工作在方式0输出,口B工作

在方式1输入,PC7—PC4为输入,PC3—PC0为输出,请编写

初始化程序段。

方式字10001110

MOV AL,8EH

MOV DX,203H

OUT DX,AL

四、阅读程序

1. 程序中数据定义如下:

DATA1 DW ?

DATA2 DB 32 DUP(?)

DATA3 DD ?

COUNT EQU $-DATA1

此时COUNT 的值是(38(或26H))。

2. 分析下列程序段,说出其功能。

MOV AX,1000H

MOV DS,AX

MOV ES,AX

MOV SI,0100H

MOV DI,0200H

MOV CX,50D

REP MOVSB

将以10100H起始的50个单元的内容传送至以10200H起始的50个单元中

3. 写出下列程序段的功能

MOV BX,2000H

MOV DS,BX

XOR AL,AL

MOV CX,100

AGAIN:MOV [BX],AL

INC BX

LOOP AGAIN

将数据段中以2000H起始的100个单元中的内容清零

4. 写出下列程序段的功能

LEA SI,BUFFER

LEA DI,ARRAY

MOV CX,100

XOR BL,BL

LP:CMP [SI],01H

JNZ LP1

INC BL

LP1:INC SI

LOOP LP

MOV [DI],BL

统计以BUFFER为首址的100个单元中数字为1的个数存入ARRAY 单元

5. 写出下列程序段的功能

MOV CX,16

MOV AX,N

MOV BH,0

LP0:SHL AX,1

JC LP

INC BH

LP:LOOP LP0

MOV SUM,BH

统计N字中0的个数

6. 写出下列程序段的功能

MOV AL,N

AND N,0FH

MOV BL,N

SHR AL,4

MOV BH,AL

将N的内容拆为两部分分别存入BH,BL中

7. 执行下列程序段后,AX=?

MOV AX,0702H

MOV BH,09H

AAD

DIV BH

AX=0008H

8. 下面程序段执行后AL=?

ABC DW 2152H,3416H,5731H,4684H MOV BX,OFFSET ABC

MOV AL,3

XLAT

AL=34H

9. 执行下面的程序段后AX=?

MOV CX,5

MOV AX,50

NEXT:SUB AX,CX

LOOP NEXT

HLT

AX=23H

10. 执行下面的程序段后AX=?

TAB DW 1,2,3,4,5,6

ENTRY EQU 6

MOV BX,OFFSET TAB

ADD BX,ENTRY

MOV AX,[BX]

AX=0004H

11. 执行下面程序段后AX=

ARRAY DW 1,2,3,4,5,6,7,8,9,10 COUNT EQU $-ARRAY

XOR AX,AX

MOV CX,COUNT

MOV SI,OFFSET ARRAY

NEXT:ADD AX,[SI]

INC SI

LOOP NEXT

AX=0055H

12. 写出下列程序段的功能

MOV AH,1

INT 21H

AND AL,0FH

MOV BL,AL

MOV AH,1

INT 21H

SHL AL,4

AND AL,BL

将从键盘接受到的两个字符拼在一起

13. 执行下列程序段后

N DB 07H

XOR BH,BH

MOV BL,N

RCL BL,1

ADD BH,BL

XOR BH,0FFH

HLT

寄存器BH的值是,寄存器BL的值是。BH=0FFH,BL=0EH

14. 分析下列程序段

MOV AL,N

XOR AH,AH

MOV CL,4

DIV CL

当N=3CH时,程序执行完后AX=

AX=000FH

15. 程序段如下:

CLC

MOV AL,6

MOV BL,9

ADD AL,BL

MOV BL,AL

DAA

执行上面程序段后,AL= ,AF= ,BL= AL=15H,AF=1,BL=0FH

16. 执行下列指令后

TABLE DB 19H,55H,9CH

LEA BX,TABLE

MOV AL,[BX]

XOR AL,89H

MOV [BX],AL

寄存器AL的值是

90H

17. 分析下列程序段,说明该程序段执行何种功能。MOV CX,10

MOV DL,30H

NEXT1:MOV AH,2

INT 21H

INC DL

LOOP NEXT1

向屏幕上依次输出0,1,…。9

18. 执行下列指令后

MOV AX,1245H

MOV BX,5689H

ADD AL,BL

MOV CL,AL

MOV AL,AH

ADC AL,BH

DAA

寄存器AL的值是,寄存器CL的值是

AL=68H,CL=CEH

19. 分析下列程序段:

MOV AL,N

XOR AH,AH

MOV BL,16

DIV BL

当N=57H时,程序执行完后AX=?

AX=0705H

20. 在下面程序的括号中分别填入如下指令:

①LOOP XH ②LOOPNE XH ③LOOPE XH

问在这三种情况下,当程序执行完后,AX、BX、CX、DX四个寄存器中的内容分别是什么?

START:MOV AX,04

MOV BX,02

MOV CX,03

MOV DX,05

XH:INC AX

ADD BX,AX

SHR DX,1

()

HLT

①AX=0007H,BX=0014H,CX=0,DX=0

②AX=0007H,BX=0014H,CX=0,DX=0

③AX=0005H,BX=0007H,CX=0002H ,DX=0002H

21. 阅读程序,请说出该程序的功能是什么?

MOV AX,DATA

MOV DS,AX

LEA SI,BUFFER

XOR BL,BL

MOV CX,100

AGAIN:MOV AL,[SI]

TEST AL,1

JNZ NEXT

INC BL

NEXT:INC SI

LOOP AGAIN

HLT

程序的功能是:统计以BUFFER为起始地址的100个单元中偶数的个数。

22. 从键盘上接收一字符,若是“Y”转YES,是“N”转NO,否则继续等待,到输入“Y”或“N”为止。

程序段如下:

MOV AL,N

AND AL,0FH

ADD AL,90H

DAA

ADC AL,40H

MOV RUT,AL

HLT

问:①若N=5,下列程序执行后AL=?②该程序段的功能是什么?

①35H ②将十六进制数转换为ASCII码

23. 执行下列程序段后:

DATA SEGMENT

DT1 LABLE WORD

DT2 DB 12H,34H

DATA ENDS

………………

MOV AL,DT2

MOV DX,DT1

寄存器AL的值是①,寄存器DX的值是②。

①12H ②3412H

24. 读下列程序,请说出该程序的功能是什么?DATA SEGMENT

SUM DW 0

DATA ENDS

STACK SEGMENT

DB 200 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME DS:DATA,SS:STACK,CS:CODE START:MOV AX,DATA

MOV DS,AX

MOV CX,50

MOV AX,0

MOV BX,1

NEXT:ADD AX,BX

INC BX

INC BX

DEC CX

JNE NEXT

MOV SUM,AX

MOV A

程序的功能是:求从1开始的50个奇数之和。

25. 设伪指令定义如下,请画出内存分配示意图。BUF DB ?

DW ‘AB’

DW ‘C’

DW 1234H

DB ‘EF’

26. 执行下列程序段后:

DATA SEGMENT

DT1 DW 8A9BH

DT2 DB 7CH,6DH

DATA ENDS

MOV AX,DT1

MOV BX,OFFSET DT1

MOV SI,OFFSET DT2

寄存器BX的值是①,寄存器SI的值是②①、0000H ②、0002H

27. 执行下列指令后:

TABLE DB 10H,33H,41H

┉┉

MOV BX,OFFSET TABLE

MOV AL,[BX]

XOR AL,80H

MOV [BX],AL

寄存器AL的值是多少?

AL=90H

28. 执行下列程序段后:

DATA SEGMENT

N1 DW 3355H

N2 DB 23H,89H

DATA ENDS

MOV AX,N1

MOV DI,OFFSET N1

MOV BP,OFFSET N2

寄存器DI的值是多少?寄存器BP的值是多少?DI=0000H BP=0002H

29. 执行下列指令后:

DAT DB 10H,33H,41H

┉┉

LEA BX,DAT

MOV AX,SEG DAT

MOV ES,AX

MOV AL,ES:[BX]

XOR AL,80H

MOV ES:[BX],AL

寄存器AL的值是多少?

AL=90H

30. 执行下列程序段后:

BUF DB 01H

……

XOR AL,AL

MOV AL,BUF

MOV BL,AL

微机原理与接口习题1_

第1章习题 1、诺依曼计算机的涵是什么?这种计算机的程序运行是由指令流驱动的还是数据流驱动的? 2、微型计算机系统有哪三个层次?试简述它们的涵及其联系和区别。 3、为什么把微型计算机的基本结构说成是总线结构?试简述总线结构的优点。 4、微型计算机硬件系统由哪些部分组成?各组成部分的功能是什么? 5、计算机分那几类?各有什么特点? 6、微处理器应包含的最基本功能部件是哪些? 7、微计算机应包含的最基本功能部件是哪些? 8、微型计算机系统有哪几部分组成,简述各部分功能特点? 9、一个完整的计算机系统通常包括系统软件和应用软件、硬件系统和软件系统、计算机及其外部设备、系统硬件和系统软件这四种组合中的哪一种? 第1章习题解答 1、诺依曼计算机的涵是什么?这种计算机程序运行是由指令流驱动的还是数据流驱动的? 答:诺依曼计算机的涵: 由运算器、控制器、存储器、输入设备、输出设备5大部分组成计算机硬件。 数据和计算机指令采用二进制数形式表示,存储在计算机部存储器中。 计算机工作原理的核心是“存储程序”和“程序控制”。事先编制好的由计算机指令组成的程序在执行前先装入存储器,控制器依据程序中的指令顺序而复始地取出指令、分析指令、执行指令,根据指令的功能进行相应的运算,直到完成全部指令操作为止. 程序的运行是通过指令流来驱动的。 2、微型计算机系统有哪三个层次?试简述它们的涵及其联系和区别。 答:微型计算机系统的三个层次:微处理器、微型计算机和微型计算机系统。 三者的涵: 微处理器是利用大规模集成电路技术,将组成计算机的核心部件——运算器和控制器集成在一块硅片上的集成电路,简称MPU,习惯上称CPU。 微型计算机则是以微处理器为核心,配以存储器、输入/输出(I/O)接口电路,通过总线结构连接而构成的裸机。 微型计算机系统是由微型计算机配以相应的外围设备(如键盘、显示器、打印机、外存储器等)、电源和其他辅助设备(如面板、机架等),以及控制微型计算机工作的软件而构成的完整的计算系统。 它们三者之间是从局部到全局的关系。单纯的微处理器和单纯的微型计算机都不能独立工作。只有微型计算机系统才是完整的计算系统,才可正常工作。 3、为什么把微型计算机的基本结构说成是总线结构?试简述总线结构的优点。 答:在微型计算机中,各组成部分之间是通过总线(包括地址总线、数据总线、控制总线)连接在一起而构成一个整体的,它们之间的信息交换也是通过总线进行。CPU通过总线与存储器和I/O接口电路连接,I/O接口和外设也是通过总线连接,即使在CPU部,它的各功能部件也是通过总线相连的。因此微型计算机的基本结构就是总线结构。 微型计算机采用总线结构后,系统中各功能部件之间的相互关系变为各部件面向总线的单一关系。一个部件只要满足总线标准,就可直接连接到采用这种总线标准的系统中。这使得系统的设计与构造非常便,同时也便于系统的扩充、升级和维修。 4、微型计算机硬件系统由哪些部分组成?各组成部分的功能是什么? 答:微型计算机硬件系统主要由CPU、存储器、I/O接口电路、输入/输出设备、总线,以及电源和一些辅助设备构成。 CPU:微机系统的核心部件,是运算和指挥控制中心。 存储器:包括存和外存,是微机系统的存储和记忆部件,用以存放数据和程序。 I/O接口电路:CPU与输入/输出设备的连接与信息交换不能直接进行,I/O接口电路充当了二者之间的“桥梁”。 输入/输出设备:计算机与外界(人或其他设备,包括另一台计算机)联系和沟通的桥梁,用户通

微机原理与接口技术实验汇总

实验要求 1、带预习报告上机 根据实验要求,作好充分预习,设计实验硬件原理及接线图(必须标出相关的管脚号,以备硬件连线及测试),画出程序流程图,写出源程序清单,规划好调试步骤,上机时带预习报告。注意:无预习报告者不得做实验。 2、认真实验,经教师检查后,方可离开 注意掌握软、硬件调试方法,提高分析问题、解决问题的能力。对所编写的程序必须十分清楚,作到知其然,亦知其所以然,硬件电路原理清楚,熟练应用常规的仪器(如万用表、示波器等)检查测试硬件电路。指导教师还会根据实验要求,提出问题。3、按时提交实验报告 实验结束后,在预习报告的基础上,完成实验报告,并于下次实验时交给指导教师。 实验报告格式 实验* ***** ***** ***** 一、实验目的 二、实验内容 三、硬件原理及接线图 四、程序流程图 五、程序清单 六、实验步骤 七、实验结果及现象分析 八、收获及建议 测13实验安排: 地点:综合实验楼0701 实验周次星期小节 一 6 五1,2 二8 五1,2 三10 五1,2 四12 五1,2 五14 五1,2

实验一汇编语言程序的调试 一、实验目的 1、掌握8086汇编程序的编辑、编译、连接及运行过程。 2、掌握使用HQFC软件调试程序的方法。 二、实验内容:编制程序并调试 1、上机调试P45 项目1程序。 要求:1)写出调试步骤 2)记下代码段数据。 3)记下数据段数据 4)用单步调试法调试,记下每句程序目的操作数执行结果。观察每句程序 执行过程中CS、 IP、 FLAGS寄存器的变化。 2、上机调试P54 项目2程序,用单步调试法指出每句程序的调试结果。 要求:1)记下代码段数据。 2)记下数据段数据 3)记下程序执行前附加段数据、程序执行后附加段数据。 3)用单步调试法调试,记下lop句之前每句程序目的操作数执行结果。 观察其它句程序的执行结果,观察每句程序执行过程中CS、 IP、 FLAGS寄存器的 变化。 三、调试步骤 1、编辑源程序,形成****.ASM文件(可用HQFC软件或XP系统下的记事本编辑源程序) (注:在E:盘上建立一个你自己的文件夹,保存你自己的文件) 2、编译,形成****.OBJ文件(可用HQFC软件的编译功能) 3、链接,形成****.EXE文件(可用HQFC软件的构建功能) 4、运行(或调试)(可用HQFC软件的重构运行功能或开始调试) 四、调试步骤参考 1、编译(编译) 在当前运行环境下,选择菜单栏中的“ASM文件编译”菜单,选择编译选项则程序对当前ASM源文件进行编译,编译调试窗口中输出汇编的结果,若程序汇编有错,则详细报告错误信息。双击输出错误,集成开发环境会自动将错误所在行代码显示。 2、构建(汇编+链接) 在当前运行环境下,选择菜单栏中的“ASM文件编译”菜单,选择汇编+链接选项则程序对当前ASM源文件进行汇编与链接,编译调试窗口中输出汇编与链接的结果,若程序汇编或链接有错,则详细报告错误信息。双击输出错误,集成开发环境会自动将错误所在行代码显示。 3、重构运行(汇编+链接+执行) 在当前运行环境下,选择菜单栏中的“ASM文件编译”菜单,选择汇编+链接+执行选项则程序对当前ASM源文件执行,程序自动运行。

微机系统与接口技术复习题

微机系统与接口技术复习 一、选择题 1.8086/8088系统中堆栈以 C 为单位进行操作的。 A.半字节 B. 字节 C. 字 D. 双字 2.在下列指令中,不影响堆栈内容的指令是 A 。 A. JMP B. POP C. CALL D. IRET 3.标志寄存器中的TF位可以用来屏蔽 A 。 A. 单步中断 B. INT n中断 C. 可屏蔽中断 D. 以上都不行4.V AR是数据段中定义的变量,指令CMP V AR[BX][DI],AX中目的操作数的寻址方式是 C 。 A.寄存器相对寻址B.直接寻址C.相对基址变址 D.比例变址寻址 5.下列指令执行后,不影响标志位的指令是 A 。 A.PUSH [1000h] B.DEC DX C.AND CX,AX D.IRET 6.下面关于PC机串行通信接口的叙述中,正确的是 A 。 A)异步通信时,一帧信息以起始位开始、停止位结束,起始位之后是数据的最高位。 B)系统A和系统B以半双工方式进行串行通信时,数据能从A传送到B,也能从B传送到A,并且可以同时进行。 C)PC机的串行通信接口采用同步通信方式。 D)PC机的串行通信接口采用RS-232标准。 7.若82C55A组工作在方式1,输出,B组工作在方式0,输入,则其方式控制字为 B 。 A. 23H B. A3H C. 80H D. 85H 8. 在8086系统中,假设SS=2000H SP=0102H,则执行PUSH AX指令后,栈顶的物理地址是 C 。 A. 20104H B. 20102H C. 20100H D. 200FEH 9.设DS=6321H,SS=6232H,BP=1860H,指令DEC BYTE PTR [BP+3]操作数的物理地址是 D 。 A. 64A73H B. 64183H C. 65073H D. 63B83H

微机原理及应用试题库(附答案)

《微机原理及应用》试题库 1. 8086和8088的引脚信号中, D 为地址锁存允许信号引脚。 A.CLK B.INTR C.NMI D.ALE 2. 下面的哪项是有效标识符: B A . 4LOOP: B. DELAYIS: C. MAIN A/B: D. GAMA$1: 3. 如图所示的三态输出电路,当 A 时,V B≈V DD。 A. E(ENABLE)=1, A=1 B. E(ENABLE)=1, A=0 C. E(ENABLE)=0, A=1 D. E(ENABLE)=0, A=0 4. 设(SS)=2000H,(SP)=0100H,(AX)=2107H,则执行指令PUSH AX 后,存放数据21H的物理地址是 D 。 A. 20102H B. 20101H C. 200FEH D. 200FFH 5. 汇编语言中,为了便于对变量的访问, 它常常以变量名的形式出现在程序中, 可以认为它是存放数据存储单元的 A 。 A.符号地址B.物理地址C.偏移地址D.逻辑地址 6. 下列四个寄存器中,不能用来作为间接寻址方式的寄存器是 A 。 A. CX B. BX C. BP D. DI (C)7. 执行下列程序段: MOV AX,0 MOV BX,1 MOV CX,100 AA:ADD AX,BX INC BX LOOP AA HLT 执行后的结果:(AX)= ,(BX)= 。 A. 5050,99 B. 2500,100 C. 5050,101 D. 2550,102 8. 假设V1和V2是用DW定义的变量,下列指令中正确的是 A 。 A.MOV V1, 20H B.MOV V1, V2 C.MOV AL, V1 D.MOV 2000H, V2 9. – 49D的二进制补码为 A 。

微机原理与接口习题

第1章习题 1、冯诺依曼计算机的内涵是什么这种计算机的程序运行是由指令流驱动的还是数据流驱动的 2、微型计算机系统有哪三个层次试简述它们的内涵及其联系和区别。 3、为什么把微型计算机的基本结构说成是总线结构试简述总线结构的优点。 4、微型计算机硬件系统由哪些部分组成各组成部分的功能是什么 5、计算机分那几类各有什么特点 6、微处理器应包含的最基本功能部件是哪些 7、微计算机应包含的最基本功能部件是哪些 8、微型计算机系统有哪几部分组成,简述各部分功能特点 9、一个完整的计算机系统通常包括系统软件和应用软件、硬件系统和软件系统、计算机及其外部设备、系统硬件和系统软件这四种组合中的哪一种 第1章习题解答 1、冯诺依曼计算机的内涵是什么这种计算机程序运行是由指令流驱动的还是数据流驱动的 答:冯诺依曼计算机的内涵: 由运算器、控制器、存储器、输入设备、输出设备5大部分组成计算机硬件。 数据和计算机指令采用二进制数形式表示,存储在计算机内部存储器中。 计算机工作原理的核心是“存储程序”和“程序控制”。事先编制好的由计算机指令组成的程序在执行前先装入存储器,控制器依据程序中的指令顺序周而复始地取出指令、分析指令、执行指令,根据指令的功能进行相应的运算,直到完成全部指令操作为止. 程序的运行是通过指令流来驱动的。 2、微型计算机系统有哪三个层次试简述它们的内涵及其联系和区别。 答:微型计算机系统的三个层次:微处理器、微型计算机和微型计算机系统。 三者的内涵: 微处理器是利用大规模集成电路技术,将组成计算机的核心部件——运算器和控制器集成在一块硅片上的集成电路,简称MPU,习惯上称CPU。 微型计算机则是以微处理器为核心,配以内存储器、输入/输出(I/O)接口电路,通过总线结构连接而构成的裸机。 微型计算机系统是由微型计算机配以相应的外围设备(如键盘、显示器、打印机、外存储器等)、电源和其他辅助设备(如面板、机架等),以及控制微型计算机工作的软件而构成的完整的计算系统。 它们三者之间是从局部到全局的关系。单纯的微处理器和单纯的微型计算机都不能独立工作。只有微型计算机系统才是完整的计算系统,才可正常工作。 3、为什么把微型计算机的基本结构说成是总线结构试简述总线结构的优点。 答:在微型计算机中,各组成部分之间是通过总线(包括地址总线、数据总线、控制总线)连接在一起而构成一个整体的,它们之间的信息交换也是通过总线进行。CPU通过总线与存储器和I/O接口电路连接,I/O接口和外设也是通过总线连接,即使在CPU内部,它的各功能部件也是通过总线相连的。因此微型计算机的基本结构就是总线结构。 微型计算机采用总线结构后,系统中各功能部件之间的相互关系变为各部件面向总线的单一关系。一个部件只要满足总线标准,就可直接连接到采用这种总线标准的系统中。这使得系统的设计与构造非常方便,同时也便于系统的扩充、升级和维修。 4、微型计算机硬件系统由哪些部分组成各组成部分的功能是什么 答:微型计算机硬件系统主要由CPU、存储器、I/O接口电路、输入/输出设备、总线,以及电源和一些辅助设备构成。 CPU:微机系统的核心部件,是运算和指挥控制中心。 存储器:包括内存和外存,是微机系统的存储和记忆部件,用以存放数据和程序。 I/O接口电路:CPU与输入/输出设备的连接与信息交换不能直接进行,I/O接口电路充当了二者之间的“桥梁”。 输入/输出设备:计算机与外界(人或其他设备,包括另一台计算机)联系和沟通的桥梁,用户通过输入/输出设备与微机系统互相通信。

微机原理与接口技术实验报告

微机原理与接口技术实验报告

2

3

实验一:数据传送 实验学时:2 实验类型:验证 实验要求:必修 一.实验目的 1.学习程序设计的基本方法和技能,掌握用汇编语言设计、编写、调试和运行程序的方法; 学习用全屏幕编辑软件QEDIT.EXE建立源程序(.ASM文件); 学习用汇编软件MASM.EXE对源文件汇编产生目标文件(.OBJ文件); 学习用连接程序LINK.EXE对目标文件产生可执行文件(.EXE文件); 学习用调试软件TD.EXE调试可执行文件; 2.掌握各种寻址方法以及简单指令的执行过程。 二.实验器材 PC机 三.实验组织运行要求 1.利用堆栈实现AX的内容与BX的内容进行交换。堆栈指针SP=2000H,AX=3000H,BX=5000H; 2.汇编、调试、观察、记录结果; ⑴用QEDIT.EXE软件输入汇编语言源程序,以.ASM格式文件存盘; ⑵用MASM对源程序进行汇编产生二进制目标文件(.OBJ文件),再用连接程序LINK产生可执行文件(.EXE文件); ⑶用调试软件TD调试、运行程序,观察、记录结果。 四.实验步骤 1.进入子目录E:>\SY86后,利用QEDIT.EXE(简称Q)送入以下汇编语言源程序,并以M1.ASM文件存盘 ⑴汇编语言程序的上机过程 ①进入\SY86子目录 E:>CD\SY86 E:\SY86> ②进入QEDIT.EXE 编辑界面 E:\SY86> Q ③输入文件名*.ASM(如M1.ASM)后,输入源程序 源程序 DATA SEGMENT PARA PUBLIC’DATA’ ;数据段定义 DB 512 DUP(0) DATA ENDS STACK SEGMENT PARA STACK’STACK’ ;堆栈段定义 DB 512 DUP( ?) 4

《微机系统原理与接口技术》答案

第1章习题解答 1、冯诺依曼计算机的内涵是什么?这种计算机程序运行是由指令流驱动的还是数据流驱动的? 答:冯诺依曼计算机的内涵: 由运算器、控制器、存储器、输入设备、输出设备5大部分组成计算机硬件。 数据和计算机指令采用二进制数形式表示,存储在计算机内部存储器中。 计算机工作原理的核心是“存储程序”和“程序控制”。事先编制好的由计算机指令组成的程序在执行前先装入存储器,控制器依据程序中的指令顺序周而复始地取出指令、分析指令、执行指令,根据指令的功能进行相应的运算,直到完成全部指令操作为止. 程序的运行是通过指令流来驱动的。 2、微型计算机系统有哪三个层次?试简述它们的内涵及其联系和区别。 答:微型计算机系统的三个层次:微处理器、微型计算机和微型计算机系统。 三者的内涵: 微处理器是利用大规模集成电路技术,将组成计算机的核心部件——运算器和控制器集成在一块硅片上的集成电路,简称MPU,习惯上称CPU。 微型计算机则是以微处理器为核心,配以内存储器、输入/输出(I/O)接口电路,通过总线结构连接而构成的裸机。 微型计算机系统是由微型计算机配以相应的外围设备(如键盘、显示器、打印机、外存储器等)、电源和其他辅助设备(如面板、机架等),以及控制微型计算机工作的软件而构成的完整的计算系统。 它们三者之间是从局部到全局的关系。单纯的微处理器和单纯的微型计算机都不能独立工作。只有微型计算机系统才是完整的计算系统,才可正常工作。 3、为什么把微型计算机的基本结构说成是总线结构?试简述总线结构的优点。 答:在微型计算机中,各组成部分之间是通过总线(包括地址总线、数据总线、控制总线)连接在一起而构成一个整体的,它们之间的信息交换也是通过总线进行。CPU通过总线与存储器和I/O接口电路连接,I/O接口和外设也是通过总线连接,即使在CPU内部,它的各功能部件也是通过总线相连的。因此微型计算机的基本结构就是总线结构。 微型计算机采用总线结构后,系统中各功能部件之间的相互关系变为各部件面向总线的单一关系。一个部件只要满足总线标准,就可直接连接到采用这种总线标准的系统中。这使得系统的设计与构造非常方便,同时也便于系统的扩充、升级和维修。 4、微型计算机硬件系统由哪些部分组成?各组成部分的功能是什么? 答:微型计算机硬件系统主要由CPU、存储器、I/O接口电路、输入/输出设备、总线,以及电源和一些辅助设备构成。 CPU:微机系统的核心部件,是运算和指挥控制中心。 存储器:包括内存和外存,是微机系统的存储和记忆部件,用以存放数据和程序。 I/O接口电路:CPU与输入/输出设备的连接与信息交换不能直接进行,I/O接口电路充当了二者之间的“桥梁”。 输入/输出设备:计算机与外界(人或其他设备,包括另一台计算机)联系和沟通的桥梁,用户通过输入/输出设备与微机系统互相通信。 总线:以上各组成部分是通过总线连接在一起构成一个整体的,各部件之间的信息运载和传输由总线承担。 5、计算机分那几类?各有什么特点? 答:传统上分为三类:大型主机、小型机、微型机。大型主机一般为高性能的并行处理系统,存储容量大,事物处理能力强,可为众多用户提供服务。小型机具有一定的数据处理能力,提供一定用户规模的信息服务,作为部门的信息服务中心。微型机一般指在办公室或家庭的桌面或可移动的计算系统,体积小、价格低、具有工业化标准体系结构,兼容性好。 6、微处理器应包含的最基本功能部件是哪些? 答: 算术逻辑单元,寄存器阵列,控制器部件。 7、微计算机应包含的最基本功能部件是哪些?

微机原理试题及答案

学年第学期微机原理及应用(A)课程试卷 卷16 班级姓名得分任课教师 一、选择题:(每题分,共18分) 1、DMAC向CPU发出请求信号,CPU响应并交出总线控制权后将()。 反复执行空操作,直到DMA操作结束 进入暂停状态, 直到DMA操作结束 进入保持状态, 直到DMA操作结束 进入等待状态, 直到DMA操作结束 2、有一个实时数据采集系统,要求10ms进行一次数据采集,然后进行数 据处理及显示输出,应采用的数据传送方式为()。 无条件传送方式查询方式 中断方式直接存储器存取方式 3、在数据传送过程中,数据由串行变并行,或由并行变串行的转换可通过 ()来实现。 计数器寄存器移位寄存器D触发器 4、8088 CPU输入/输出指令可寻址外设端口的数量最大可达()个。 128 256 16K 64K 5、CPU响应中断后,通过()完成断点的保护。

执行开中断指令执行关中断指令 执行PUSH指令内部自动操作 6、并行接口芯片8255A具有双向数据传送功能的端口是()。 PA口PB口PC口控制口 7、8088CPU处理动作的最小时间单位是()。 指令周期时钟周期机器周期总线周期 8.堆栈是内存中()。 先进先出的ROM区域后进先出的ROM区域 先进先出的RAM区域后进先出的RAM区域 9、计算机中广泛应用的RS-232C实质上是一种()。 串行接口芯片串行通信规程(协议) 串行通信接口标准系统总线标准 5--1 10、高速缓冲存储器(CACHE)一般是由()芯片组成。 SRAM DRAM ROM EPROM 11、鼠标器是一种()。 手持式的作图部件手持式的光学字符识别设备 手持式的座标定位部件手持式扫描器 12、传送速度单位“bps”的含义是()。

微机原理及接口技术课后习题答案

《微机原理与接口技术》 复习题 第1章 1.简述名词的概念:微处理器、微型计算机、微型计算机系统。 答: (1)微处理器:微处理器(Microprocessor)简称μP或MP,或CPU。CPU是采用大规模和超大规模集成电路技术将算术逻辑部件ALU(Arithmetic Logic Unit)、控制部件CU (Control Unit)和寄存器组R(Registers)等三个基本部分以及部总线集成在一块半导体芯片上构成的电子器件。 (2)微型计算机:微型计算机(Microcomputer)是指以微处理器为核心,配上由大规模集成电路制作的存储器、输入/输出接口电路及系统总线等所组成的计算机,简称微机。 (3)微型计算机系统:微型计算机系统由硬件与软件两大部分组成,分别称为硬件(Hardware)系统与软件(Software)系统。其中,硬件(Hardware)系统由CPU、存储器、各类I/O接口、相应的I/O设备以及连接各部件的地址总线、数据总线、控制总线等组成。 软件(Software)系统:计算机软件(Software)是指为运行、维护、管理、应用计算机所编制的程序及程序运行所需要的数据文档资料的总和。一般把软件划分为系统软件和应用软件。其中系统软件为计算机使用提供最基本的功能,但是并不针对某一特定应用领域。而应用软件则恰好相反,不同的应用软件根据用户和所服务的领域提供不同的功能。 2.简述名词的概念:指令寄存器、地址寄存器、标志寄存器。 答: (1)指令寄存器:指令寄存器(Instruction Register,IR)用来保存计算机当前正在执行或即将执行的指令。当一条指令被执行时,首先,CPU从存取出指令的操作码,并存入IR中,以便指令译码器进行译码分析。 (2)地址寄存器:地址寄存器(Address Register,AR)被动地接受IP传送给它的地址值(二进制地址),AR的作用是保持IP送来的地址,并且以并行方式连接输出到CPU的地址引脚上,以便CPU访问指定的存单元。 (3)标志寄存器:标志寄存器(Flags,F)是CPU中不可缺少的程序状态寄存器,因此,也称程序状态字寄存器(PSW),所谓状态是指算术或逻辑运算后,结果的状态以二进制的0或1在标志寄存器中标识出来,例如,运算结果有进位,则进位标志位CF=1,否则为0。 3.何谓IA-32处理器? 答:

微机原理与接口技术李珍香版十个实验程序说课讲解

微机原理与接口技术李珍香版十个实验程 序

实验一 1.实验目的:掌握汇编语言源程序的结构。 2.实验内容:显示一串字符串“hellow world! 班级,学号”。3.实验代码: DATA SEGMENT MS DB ' Hello,World!$' NS DB ' 151044A,151044106$' DATA ENDS STACK SEGMENT STACK DW 50 DUP(?) STACK ENDS CODE SEGMENT ASSUME DS:DATA,CS:CODE:CODE,SS:STACK START:MOV AX,DATA MOV DS,AX MOV DX,OFFSET MS MOV AH,9 INT 21H MOV AX,DATA MOV DS,AX

MOV DX,OFFSET NS MOV AH,9 INT 21H MOV AH,4CH INT 21H CODE ENDS END START 4.实验结果:

实验二 1.实验目的:熟悉汇编语言源程序的编辑、汇编、连接、调试 过程 2.实验内容:两个8位16进制数相加(生日+当天日期) 3.实验代码: DATA SEGMENT SR DD 19970925H RQ DD 20171111H DATA ENDS STACK SEGMENT STACK DB 50 DUP(0) STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK START:MOV AX,DATA MOV DS,AX MOV AX,WORD PTR SR ADD AX,WORD PTR RQ

微机原理与接口技术(第四版)课后习题答案 (1)培训讲学

微机原理与接口技术(第四版)课后习题答 案(1)

第1章微型计算机系统 〔习题1.1〕简答题 (2)总线信号分成哪三组信号? (3)PC机主存采用DRAM组成还是SRAM组成? (5)ROM-BIOS是什么? (6)中断是什么? (9)处理器的“取指-译码-执行周期”是指什么? 〔解答〕 ②总线信号分成三组,分别是数据总线、地址总线和控制总线。 ③ PC机主存采用DRAM组成。 ⑤ROM-BIOS是“基本输入输出系统”,操作系统通过对BIOS的调用驱动各硬件设备,用户也可以在应用程序中调用BIOS中的许多功能。 ⑥中断是CPU正常执行程序的流程被某种原因打断、并暂时停止,转向执行事先安排好的一段处理程序,待该处理程序结束后仍返回被中断的指令继续执行的过程。 ⑨指令的处理过程。处理器的“取指—译码—执行周期”是指处理器从主存储器读取指令(简称取指),翻译指令代码的功能(简称译码),然后执行指令所规定的操作(简称执行)的过程。 〔习题1.3〕填空题 (2)Intel 8086支持___________容量主存空间,80486支持___________容量主存空间。 (3)二进制16位共有___________个编码组合,如果一位对应处理器一个地址信号,16位地址信号共能寻址___________容量主存空间。 (9)最初由公司采用Intel 8088处理器和()操作系统推出PC机。 ② 1MB,4GB ③ 216,64KB (9)IBM,DOS 〔习题1.4〕说明微型计算机系统的硬件组成及各部分作用。 〔解答〕 CPU:CPU也称处理器,是微机的核心。它采用大规模集成电路芯片,芯片内集成了控制器、运算器和若干高速存储单元(即寄存器)。处理器及其支持电路构成了微机系统的控制中心,对系统的各个部件进行统一的协调和控制。 存储器:存储器是存放程序和数据的部件。 外部设备:外部设备是指可与微机进行交互的输入(Input)设备和输出(Output)设备,也称I/O设备。I/O设备通过I/O接口与主机连接。 收集于网络,如有侵权请联系管理员删除

微机原理及接口技术考试各章重点题库及答案

微机原理与接口技术试题库 第一章基础知识 一、填空 1、计算机中采用二进制数,尾符用B 表示。 2、西文字符的编码是ASCII 码,用 1 个字节表示。 3、10111B用十六进制数表示为H,八进制数表示为O。 4、带符号的二进制数称为真值;如果把其符号位也数字化,称为原码。 5、已知一组二进制数为-1011B,其反码为10100B ,其补码为10101B 。 6、二进制码最小单位是位,基本单位是字节。 7、一个字节由8 位二进制数构成,一个字节简记为1B ,一个字节可以表示256 个信息。 8、用二进制数表示的十进制编码,简称为BCD 码。 9、8421码是一种有权BCD 码,余3码是一种无权BCD 码。 二、选择 1、计算机中采用 A 进制数。 A. 2 B. 8 C. 16 D. 10 2、以下的 C 编码是一种有权码。 A. 循环码 B. BCD码 C. 8421码 D. 余3码 3、八进制数的尾符是 B 。 A. B B. O C. D D. H 4、与十进制数254等值的数是 A 。 A. 11111110 B. 11101111 C. 11111011 D. 11101110 5、下列不同数制表示的数中,数值最大的是 C 。 A. 11011101B B. 334O C. 1219D D. DAH 6、与十六进制数BC等值的数是B 。 A. 10111011 B. 10111100 C. 11001100 D. 11001011 7、下列字符中,ASCII码值最小的是 A 。 A. K B. Y C. a D. i 8、最大的10位无符号二进制整数转换成十进制数是C 。 A. 51 B. 512 C. 1023 D. 1024 9、A的ASCII码值为65D,ASCII码值为68D的字母是C 。 A. B B. C C. D D. E 10、下列等式中,正确的是 D 。 A. 1KB=1024×1024B B. 1MB=1024B

微机原理与接口技术习题

1.8051微控制器包含哪些主要功能模块?经典8051 MCU有哪些功能特点? 8051微控制器包含CPU、存储器(ROM、RAM)、输入输出(I/O)接口、中断系统、定时器/计数器、串行接口和时钟、复位电路以及特殊功能寄存器SFR。 经典8051MCU有8位CPU,包含运算器和控制器两大部分;内部的RAM和可扩展的外部RAM、内部的ROM和可扩展的外部ROM;21个特殊寄存器SFR,用于内部功能部件的管理、控制和监视;4个并行8位I/O接口;具有5个中断源的中断系统,且有2个中断优先权;有2个16位的定时器/计数器,具有4种工作方式;1个全双工的串行口,用于MCU与具有串行接口的的外设进行异步串行通信,也可以扩展I/O接口;具有较强位寻址、位处理能力的布尔处理器;具有内部时钟电路;还有属于CISC的指令系统。2.8051微控制器的存储器在结构上有何特点?ROM、RAM各有哪几种地址空间?分别如何 使用? 8051微控制器的存储器采用哈佛结构,是程序存储器和数据存储器分别编址的结构,即ROM和RAM是分开寻址的。 ROM(程序存储器)可以分为内部和外部两部分:内部8K空间(0000H-1FFFH)、外部64K空间(0000H-FFFFH)。其中内外部的低8KB空间具有相同的地址,会有地址重叠问题,可以通过控制信号EA进行选择,EA=1时表示优先选用内部ROM的低8K位,EA=0时表示全部选用外部ROM。 RAM(数据存储器)也有内部和外部两个空间,内部256B空间(00H-FFH)、外部64KB 空间(0000H-FFFFH)。其中内部RAM中低32位(00H-1FH)是工作寄存器,共8组,可用PSW寄存器中的两位RS1、RS0来确定。(20H-2FH)是位寻址区,可用CPU用位操作指令直接访问。(30H-FFH)通常作为数据缓存区和堆栈区,其中(30H-7FH)可运用直接寻址和寄存器间接寻址这两种寻址方式,对其进行访问;而(80H-FFH)则只能采用寄存器间接寻址方式进行访问。外部数据存储器和内部的低256B地址重叠区可采用不同指令访问,外部区只能用MOVX类指令,通过累加器ACC与其进行数据传输。 3.程序状态寄存器PSW的作用是什么?常用状态标志有哪几位?作用是什么? PSW用来存放程序状态信息,表征指令的执行状态,供程序查询和判别之用。 常用的有CY(进位标志)、AC(辅助进位)、OV(溢出标志)、P(奇偶标志) CY:进位标志。在。在加、减法运算时,若高位(D7)发生进位或借位则被置1(即C=1),否则被清0(C=0); AC:辅助进位标志。在加、减法运算时,若低4位向高4位发生进位或借位则AC=1,

微机原理与接口技术实验指导书1_[1]...

微机原理与接口技术实验指导书 编者:王亭岭 华北水利水电学院 电气学院自动化教研室 二零一二年三月

目录 实验一标志寄存器应用 (1) 实验二指令寻址方式练习 (4) 实验三分支结构程序设计 (6) 实验四循环结构程序设计 (7) 实验五子程序结构程序设计 (8) 实验六DOS系统功能调用程序设计 (10) 实验七BIOS中断调用程序设计 (12) 实验八定时器中断程序设计 (14)

实验一标志寄存器应用 一、实验目的与要求 1.掌握汇编程序的编译过程; 2.掌握8086的标志寄存器的特点。 二、实验内容 微型计算机(80x86系列)。 四、实验参考程序 DATA SEGMENT A DW 123 B DW 456 SUM DW ? DATA ENDS CODE SEGMENT ASSUME CS:CODE , DS:DATA START: MOV AX , DATA MOV DS , AX MOV AX , A SUB AX , B MOV SUM , AX MOV AH,4CH INT 21H CODE ENDS END START 五、实验步骤 步骤一、用鼠标单击开始菜单,单击运行。如下图所示:

步骤二、在运行窗口中键入cmd,回车进入命令提示符。如下图所示: 步骤三、在命令提示符中编译汇编源程序并连接*.obj文件。如下图所示:1.C:\>D: 回车 2.D:\ >CD MASM 回车 3.D:\MASM>MASM SY1.ASM 回车 4.D:\MASM>LINK SY1.OBJ 回车

步骤四、在命令提示行中运行并调试汇编程序。如下图所示:1.D:\MASM>SY1.EXE 回车 2.D:\MASM>DEBUG SY1.EXE 回车 六、实验结论 1.程序运行后变量SUM的值是多少? 2.程序运行前后标志寄存器的各标志位有何变化? 3.分析实验结果及所遇到的问题,并说明解决的方法。

微机原理与接口技术(习题答案)(DOC)

, 第1章微机运算基础 习题和思考题 1.请完成以下计算: 174.66D=(.10101)B=(AE. A8)H .01011B=()D=()H 4BCH=(0)B=()BCD 2.设字长为8位,X=(2A)16,当X分别为原码、补码、反码和无符号数的时候,其真值 是多少 答:当X表示原码时,其真值为:+101010 ) 当X表示补码时,其真值为:+101010 当X表示反码时,其真值为:+101010 当X表示无符号数数时,其真值为:00101010 3.设字长为8位,用补码形式完成下列计算,要求有运算结果并讨论是否发生溢出 120+18 -33-37 -90-70 50+84 答:120+18 \ 其补码形式分别为:(120)补=01111000 (18)补=00010010 01111000 + 00010010 由于C s=0 ,C p=1,因此有溢出,结果错误 -33-37 其补码形式为:(-33)补=(-37)补= , +

由于C s=1, C p=1,所以没有溢出,结果正确 -90-70 其补码形式为:(-90)补=(-70)补= + 01010110 》 由于C s=1, C p=0,所以有溢出,结果错误 50+84 其补码形式为:(50)补=00110010 (84)补=01010100 00110010 +01010100 由于C s=0, C p=1,所以有溢出,结果错误 4.( 5.请写出下列字符串的ASCII码值。 My name is Zhang san. 4D 79 6E 61 6D 65 69 73 5A 68 61 6E 67 73 61 6E 2E 第2章 80X86微机系统 习题与思考题 1.微型计算机主要由哪些基本部件组成各部件的主要功能是什么 答:微型计算机主要由输入设备、运算器、控制器、存储器和输出设备组成。 各部件的功能分别是:1、输入设备通过输入接口电路将程序和数据输入内存;2、运算器是进行算术运算和逻辑运算的部件,它是指令的执行部件;3、控制器是计算机的指挥中心,它负责对指令进行译码,产生出整个指令系统所需要的全部操作的控制信号,控制运算器、存储器、输入/输出接口等部件完成指令规定的操作;4、存储器用来存放程序、原始操作数、运算的中间结果数据和最终结果数据; 5、输出设备是CPU通过相应的输出接口电路将程序运行的结果及程序、数据送到的设备; 2.> 3.微处理器的发展过程是什么 答:微型计算机的发展过程是: 第一代(1946~1957)——采用电子管为逻辑部件,以超声波汞延迟线、阴极射线管、磁芯和磁鼓等为存储手段;软件上采用机器语言,后期采用汇编语言。 第二代(1957~1965)——采用晶体管为逻辑部件,用磁芯、磁盘作内存和外存;软件上广泛采用高级语言,并出现了早期的操作系统。

微机原理与接口技术习题答案

《微机原理与接口技术》习题答案 一、单项选择题 1、80486CPU进行算术和逻辑运算时,可处理的信息的长度为( D )。 A、32位 B、16位 C、8位 D、都可以 2、在下面关于微处理器的叙述中,错误的是( C ) 。 A、微处理器是用超大规模集成电路制成的具有运算和控制功能的芯片 B、一台计算机的CPU含有1个或多个微处理器 C、寄存器由具有特殊用途的部分内存单元组成,是内存的一部分 D、不同型号的CPU可能具有不同的机器指令 3、若用MB作为PC机主存容量的计量单位,1MB等于( B )字节。 A、210个字节 B、220个字节 C、230个字节 D、240个字节 4、运算器在执行两个用补码表示的整数加法时,判断其是否溢出的规则为( D )。 A、两个整数相加,若最高位(符号位)有进位,则一定发生溢出 B、两个整数相加,若结果的符号位为0,则一定发生溢出 C、两个整数相加,若结果的符号位为1,则一定发生溢出 D、两个同号的整数相加,若结果的符号位与加数的符号位相反,则一定发生溢出 5、运算器的主要功能是( C )。 A、算术运算 B、逻辑运算 C、算术运算与逻辑运算 D、函数运算 6、指令ADD CX,55H[BP]的源操作数的寻址方式是(D )。 A、寄存器寻址 B、直接寻址 C、寄存器间接寻址 D、寄存器相对寻址 7、设(SS)=3300H,(SP)=1140H,在堆栈中压入5个字数据后,又弹出两个字数据,则(SP)=(A ) A、113AH B、114AH C、1144H D、1140H 8、若SI=0053H,BP=0054H,执行SUB SI,BP后,则( C)。 A、CF=0,OF=0 B、CF=0,OF=1 C、CF=1,OF=0 D、CF=1,OF=1 9、已知(BP)=0100H,(DS)=7000H,(SS)=8000H,(80100H)=24H,(80101H)=5AH,(70100H)=01H,(70101H)=02H,指令MOV BX,[BP]执行后,(BX)=(D ) 。 A、0102H B、0201H C、245AH D、5A24H 10、实模式下80486CPU对指令的寻址由(A )决定。 A、CS,IP B、DS,IP C、SS,IP D、ES,IP 11、使用80486汇编语言的伪操作指令定义: VAL DB 2 DUP(1,2,3 DUP(3),2 DUP(1,0)) 则

微机原理与接口技术实验报告

微机原理与接口技术课程设计报告题目:继电器控制实验设计 学院电子与信息工程学院 专业通信工程年级 10级 学生姓名 xx 学号 _ xxxxxxxx 指导教师 xx 完成时间 2012/11/9 二O一二年十一月

目录 摘要 (3) 一.实验目的 (4) 二.实验原理 (4) 1 实验基本原理 (4) 2.1继电器结构原理图 (5) 2.2继电器工作原理 (5) 3.1 8255A组成 (6) 3.2 8255A结构框图 (7) 3.3 8255A工作方式 (7) 三.实验内容 (11) 四.程序设计 (12) 4.1程序流程图 (12) 4.2程序代码 (12) 五.实验小结........................................................ 错误!未定义书签。 六.参考文献 ......................................................... 错误!未定义书签。

摘要 现代自动控制设备中,都存在一个电子电路与电气电路的互相连接问题,一方面要使电子电路的控制信号能够控制电气电路的执行元件,另一方面又要为电子线路的电气电路提供良好的电气隔离,以保护电子电路和人身的安全。继电器便能完成这一桥梁作用。 本课程设计主要采用了控制继电器和8255A芯片来完成。电路的控制端为高电平时,继电器工作常开触点吸合,连触点的LED灯被点亮。当控制端为低电平时,继电器不工作。执行时,对应的LED将随继电器的开关而亮灭。 关键词:控制继电器 8255A芯片

一.实验目的 1、进一步熟悉汇编语言的设计编写 2、掌握继电器控制的基本原理 3、掌握继电器控制编程方法 4、掌握8255芯片的应用 二.实验原理 1、利用8255A PA0输出高低电平,控制继电器的开合,以实现对外部装置的控制。 硬件线路原理图接线如下: 2、继电器 在现代工业中,人员和电子电路安全保障一直是首要考虑的问题,尤其在一些高危恶劣环境下,如高温高压等,如何在保障操作人员和电子电路安全的前提下对电路进行良好地控制便成为了工业进步不可回避的问题。一方面要使电子电路的控制信号能够控制电气电路的执行元件,另一方面又要为电子线路的电气电路提供良好的电气隔离,以保护电子电路和人身的安全,符合这种需求的元件便是继电器。 控制继电器是一种自动电子控制器件,它适用于远距离接通和分断交、直流小容量控制电路, 它具有控制系统和被控制系统,通常应用于自动控制电路中,

微机系统与接口技术(专升本)地质大学期末开卷考试题库及答案

微机系统与接口技术(专 升本) 单选题 1. _____接口标准,不能弥补RS-2 32C在传输距离和传输效率上的不足。( (A) IEEE1284 (B) RS-422 (C) RS-423 (D) RS-485 参考答案:A 2. PCI总线可用于32位或64位系统,采用的总线时钟可以是33MHz和66MHz,当采用66MHz总线时钟工作于64位系统时,其数据传输速率为_____MB/s。 (A) 132 (B) 264 (C) 528 (D) 1056 参考答案:C 3. 8255A在作方式1输入时,采用查询方式读取数据之前,一般应查询___ __信号是否有效。( (A) STB (B) IBF (C) INTE (D) INTR 参考答案:D 4. 下列接口中,_____不是当前计算机的标准并行接口。( (A) 打印机接口 (B) PC机IEE (C) GPIB接口 (D) RS-232C标准接口 (E) 1284接口 参考答案:D 5. 一个接口可由____组成。( (A) 一个端口 (B) 两个端口 (C) 一个I/O地址 (D) 若干个I/O地址参考答案:D 6. 8253一个计数器,工作在方式2, 当计数初值为_____时,输出信号的 周期最长。( (A) FF (B) FE (C) 00 (D) 65536 参考答案:C 7. 总线是微处理器、内存储器和I/O 接口之间相互交换信息的公共通路。总 线中的控制总线是_____的信息通 路。( (A) 处理器向内存储器传送的命令 信号 (B) 微处理器向I/O接口传送的命 令信号 (C) 外界向微处理器传送的状态信 号 (D) 上述三种信号 参考答案:D 8. 采用查询传送方式时,必须要有__ ___。( (A) 中断逻辑 (B) 请求信号 (C) 状态端口 (D) 类型号 参考答案:C 9. 下列哪种总线的数据传输方式在连 接一个慢速设备的时候需要降低系统 时钟_____。( (A) 同步式 (B) 异步式 (C) 半同步式 (D) 分离式 参考答案:A 10. 串行异步通信的实现,必须作到_ ____。( (A) 通信双方有同步时钟的传送, 以实现同步 (B) 一块数据传送结束时,用循环 冗余校验码进行校验 (C) 以字符为传送信息的单位,按 约定配上起始位、停止位和校验位 (D) 与块间用同步字符0111111 0隔开 参考答案:C 11. 关于接口的叙述,下列不正确的是 _____。( (A) 各种各样的外设必须通过各自 的接口才能和CPU连接在一起; (B) 接口就相当于一个信息的中转 站; (C) 接口就是CPU能够直接访问 的寄存器或者特定电路; (D) 微机系统的性能是由接口电路 的好坏来体现的。 参考答案:C 12. 有关存储器映象I/O方式正确描 述的是_____。( (A) 又称为I/O独立方式 (B) I/O端口地址空间独立于存贮 器地址空间 (C) 增加地址译码的复杂性 (D) I/O指令类型较少 参考答案:D 13. 有关8255A PC口的说法错误的 是_____。( (A) 可作数据口,也可作状态口, 又可当联络信号用 (B) 对PC口可进行按位置位和复 位操作 (C) PC口8位可分为两个4位端 口,也可分成一个5位一个3位端 口 (D) 在方式1下,对PC口读是读 的中断允许位,写是写的状态字 参考答案:D 14. 一个8位DAC(二进制),量程 为5V(电压型),则对应3V的输出 需输入的数字量是_____。( (A) FFH (B) 33H (C) 9AH (D) 5EH 参考答案:C 15. 在PC/XT机中,不列不属于输入 /输出方式的是_____。 (A) 程序控制I/O (B) 中断驱动I/O (C) DMA方式 (D) 存储器访问方式

相关文档
最新文档