EDA技术期末试卷(含答案)

EDA技术期末试卷(含答案)
EDA技术期末试卷(含答案)

密 封 线 内

不 得 答

级 学号 姓名

一、单项选择题(30分) 1.以下描述错误的是 C

A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境

B .Altera 是世界上最大的可编程逻辑器件供应商之一

C .MAX+plusII 是Altera 前一代FPGA/CPL

D 集成开发环境QuartusII 的更新换代新产品

D .QuartusII 完全支持VHDL 、Verilog 的设计流程

2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B

A .ModelSim

B .Leonardo Spectrum

C .Active HDL

D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C

A .ispLSI 系列器件

B .MAX 系列器件

C .XC9500系列器件

D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B

A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线

B .信号的定义范围是结构体、进程

C .除了没有方向说明以外,信号与实体的端口概念是一致的

D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B

A .Moore 型状态机其输出是当前状态和所有输入的函数

B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期

C .Mealy 型状态机其输出是当前状态的函数

D .以上都不对

6.下列标识符中, B 是不合法的标识符。

A .PP0

B .END

C .Not_Ack

D .sig

7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。

A .CPLD 即是现场可编程逻辑器件的英文简称

B .CPLD 是基于查找表结构的可编程逻辑器件

C .早期的CPL

D 是从GAL 的结构扩展而来

D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构

8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。

A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程

B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件

C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束

D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)

9.嵌套使用IF 语句,其综合结果可实现 A 。

A .带优先级且条件相与的逻辑电路

B .条件相或的逻辑电路

C .三态控制电路

D .双向控制电路

10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then

C .if clk’event and clk = ‘0’ then

D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。

A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动

B .敏感信号参数表中,应列出进程中使用的所有输入信号

C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成

D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS

E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作

库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。

A .器件外部特性

B .器件的综合约束

C .器件外部特性与内部功能

D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化

5.ROM :只读存储器 三、程序填空题(20分)

以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整

密 封 线 内 不 得 答

级 学号 姓名

请回答问题:在程序中存在两处错误,试指出并修改正确(如果是缺少语句请指出应该插入的行号) 答:(1)第9行有误,SIGNAL Q1 : RANGE 0 TO 9数据类型有误,应该改成SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0)

(2)第11行有误,敏感信号列表中不能出现输出端口,应该改成PROCESS (clk)

密 封 线 内 不 得 答

级 学号

姓名

五、(28分)

1.试用VHDL 描述一个外部特性如图所示的D 触发器。(10分) 参考程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY mydff IS

PORT(CLK:IN STD_LOGIC; D:IN STD_LOGIC; Q:OUT STD_LOGIC); END;

ARCHITECTURE bhv OF mydff IS BEGIN

PROCESS(CLK) BEGIN

IF CLK'EVENT AND CLK='1' THEN Q<=D; END IF;

END PROCESS; END;

2.下图为某一状态机对应的状态图,试用VHDL 语言描述这一状态机。(18分)

其其

参考程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY FSM2 IS

PORT ( clk,reset,in1 : IN STD_LOGIC;

out1 : OUT STD_LOGIC_VECTOR(3 downto 0)); END;

ARCHITECTURE bhv OF FSM2 IS

TYPE state_type IS (s0, s1, s2, s3);

SIGNALcurrent_ state,next_state: state_type; BEGIN

P1:PROCESS(clk,reset) BEGIN

IF reset = ‘1’ THEN current_state <= s0; ELSIF clk='1' AND clk'EVENT THEN

current_state <=next_state; END IF;

END PROCESS;

P2:PROCESS(current_state) BEGIN

case current_state is

WHEN s0 => IF in1=‘1’THEN next_state<=s1; ELSE next_state<=s0; END IF;

WHEN s1 => IF in1='0'THEN next_state<=S2; ELSE next_state<=s1; END IF;

WHEN s2 => IF in1='1'THEN next_state<=S3; ELSE next_state<=s2; END IF;

WHEN s3 => IF in1='0'THEN next_state<=S0;

ELSE next_state<=s3; END IF; end case;

END PROCESS;

p3:PROCESS(current_state) BEGIN

case current_state is

WHEN s0 => IF in1=‘1’THEN out1<=“1001”; ELSE out1<="0000"; END IF; WHEN s1 => IF in1='0'THEN out1<="1100"; ELSE out1<="1001"; END IF; WHEN s2 => IF in1='1'THEN out1<="1111"; ELSE out1<="1001"; END IF; WHEN s3 => IF in1='1'THEN out1<="0000";

ELSE out1<="1111"; END IF; end case; END PROCESS; end bhv;

龙岩学院 2013~2014第一学期课程表最新

龙岩学院数学与计算机科学学院2013~2014学年第一学期课程表(五)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、11计算机师、11计算机非师第15周停课一周进行网络管理与设计实践;11软工第15周停课一周进行网络与通信课程实践。 教务处二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、12计算机专升本第15周停课一周进行WEB应用开发课程设计;12计算机第16周停课一周进行数据结构课程设计;12软工1、2班第16周停课一周进行面向对 象课程设计。 教务处数计院 二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、13计算机第16周停课一周进行程序设计基础课程设计;13计算机专升本第16周停课一周进行数据库系统原理课程设计 教务处数计院 二○一三年九月

EDA技术实用教程课后习题答案

第一章 1-1 EDA 技术与ASIC 设计与FPGA 开发有什么关系? 答:利用EDA 技术进行电子系统设计得最后目标就是完成专用集成电路ASIC 得设计与实现;FPGA 与CPLD 就是实现 这一途径得主流器件。FPGA 与CPLD 通常也被称为可编程专用IC,或可编程ASIC。FPGA 与CPLD 得应用就是EDA 技术 有机融合软硬件电子设计技术、SoC(片上系统)与ASIC 设计,以及对自动设计与自动实现最典型得诠释。 1-2 与软件描述语言相比,VHDL 有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU 得机器代码,这种代码仅限于这种CPU 而不能移植,并且机器 代码不代表硬件结构,更不能改变CPU 得硬件结构,只能被动地为其特定得硬件电路结构所利用。综合器将VHDL 程序转化得目标就是底层得电路结构网表文件,这种满足VHDL 设计程序功能描述得电路结构,不依赖于任何特定硬 件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达得电路功能转化成具体得电路结构网表过程中,具 有明显得能动性与创造性,它不就是机械得一一对应式得“翻译”,而就是根据设计库、工艺库以及预先设置得各类约 束条件,选择最优得方式完成电路结构得设计。 l-3 什么就是综合?有哪些类型?综合在电子设计自动化中得地位就是什么? 什么就是综合? 答:在电子设计领域中综合得概念可以表示为:将用行为与功能层次表达得电子系统转换为低层 次得便于具体实现得模块组合装配得过程。 有哪些类型? 答:(1)从自然语言转换到VHDL 语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器 传输级(RegisterTransport Level,RTL),即从行为域到结构域得综合,即行为综合。(3)从RTL 级表示转换到逻 辑门(包括触发器)得表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到FPGA 得配置网表 文件,可称为版图综合或结构综合。 综合在电子设计自动化中得地位就是什么? 答:就是核心地位(见图1-3)。综合器具有更复杂得工作环境,综合器 在接受VHDL 程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关得工艺库信息,以及获得优化综 合得诸多约束条件信息;根据工艺库与约束条件信息,将VHDL 程序转化成电路实现得相关信息。 1-4 在EDA 技术中,自顶向下得设计方法得重要意义就是什么? P7~10 答:在EDA 技术应用中,自顶向下得设计方法,就就是在整个设计流程中各设计环节逐步求精得过程。 1-5 IP 在EDA 技术得应用与发展中得意义就是什么? P11~12 答:IP 核具有规范得接口协议,良好得可移植与可测试性,为系统开发提供了可靠得保证。 第二章 2-1 叙述EDA 得FPGA/CPLD 设计流程。P13~16 答:1、设计输入(原理图/HDL 文本编辑);2、综合;3、适配;4、时序仿真与功能仿真;5、编程下载;6、硬件测试。 2-2 IP 就是什么?IP 与EDA 技术得关系就是什么? P24~26 IP 就是什么? 答:IP 就是知识产权核或知识产权模块,用于ASIC 或FPGA/CPLD 中得预先设计好得电路功能模块。 IP 与EDA 技术得关系就是什么? 答:IP 在EDA 技术开发中具有十分重要得地位;与EDA 技术得关系分有软IP、 固IP、硬IP:软IP 就是用VHDL 等硬件描述语言描述得功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常就是以硬件描述语言HDL 源文件得形式出现。固IP 就是完成了综合得功能块,具有较大得设计深度,以网表文件 得形式提交客户使用。硬IP 提供设计得最终阶段产品:掩模。 2-3 叙述ASIC 得设计方法。P18~19 答:ASIC 设计方法,按版图结构及制造方法分有半定制(Semi-custom)与全定制(Full-custom)两种实现方法。 全定制方法就是一种基于晶体管级得,手工设计版图得制造方法。 半定制法就是一种约束性设计方式,约束得目得就是简化设计,缩短设计周期,降低设计成本,提高设计正确率。 半定制法按逻辑实现得方式不同,可再分为门阵列法、标准单元法与可编程逻辑器件法。 2-4 FPGA/CPLD 在ASIC 设计中有什么用途? P16,18 答:FPGA/CPLD 在ASIC 设计中,属于可编程ASIC 得逻辑器件;使设计效率大为提高,上市得时间大为缩短。 2-5 简述在基于FPGA/CPLD 得EDA 设计流程中所涉及得EDA 工具,及其在整个流程中得作用。P19~23 答:基于FPGA/CPLD 得EDA 设计流程中所涉及得EDA 工具有:设计输入编辑器(作用:接受不同得设计输 入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL 得文本输入方式。);HDL 综合器(作用: HDL 综合器根据工艺库与约束条件信息,将设计输入编辑器提供得信息转化为目标器件硬件结构细节得信息,并在 数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型得表达、 电子系统得建模、逻辑电路得验证及门级系统得测试);适配器(作用:完成目标系统在器件上得布局与布线);下 载器(作用:把设计结果信息下载到对应得实际器件,实现硬件设计)。 第三章 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL 就是怎样实现可编程组合电路与时序电路得。P34~36 OLMC 有何功能? 答:OLMC 单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器 输出、寄存器输出双向口等。 说明GAL 就是怎样实现可编程组合电路与时序电路得? 答:GAL(通用阵列逻辑器件)就是通过对其中得OLMC (输出逻辑宏单元)得编程与三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计 得。 3-2 什么就是基于乘积项得可编程逻辑结构? P33~34,40 答:GAL、CPLD 之类都就是基于乘积项得可编程结构;即包含有可编程与阵列与固定得或阵列得PAL(可编程阵

龙岩学院学生素质综合测评细则(

龙岩学院学生素质综合测评细则 一、思想品德素质测评(15分) 评分方式:自评(10%),十人小组评分(20%)导师评分(70%) 评分细则:十人评分小组(由班长,团支书,学习委员,以及个宿舍宿舍长组成)给每位同学评分,去掉一个最高分、去掉一个最低分,取余下分数的 平均分就是该同学该栏目的得分。(各班级可以另外再找三个可靠且 诚实正直的同学担任工作人员,十人评分小组只要负责对该同学进行 全盘思索以期给予最公正、客观的分数就可以了。) 评分要求:1、对各位同学的“政治素质”、“品德修养”、“学习态度”、“法纪观念”及“劳动实践”五个部分进行综合评分,详细参照学生手册53 页;(好20-19分、一般19-17分、较差17-14分、差14-10分) 2、受到警告以上处分的同学,十人评分小组成员给其打的分数应在 “差(10分以下)”。 3、一学期累计扣分达6分以上的同学,思想品德素质测评应为差; 二、体育素质测评(10分) 。评分要求:1、一般同学起评分为8分;(体育成绩优秀6分,良5.5分,中5分,及格4.5分,不及格4分。竞赛分4分。) 注:班级成员有无参加体育竞赛及获奖情况请体育委员统计。 三、能力素质测评(15分) (1)社会工作能力素质分(5分)(起评分2.5分) 评分要求: 1、积极主动地协助教师、学生干部开展工作或协助组织集体活动的一般同学,可根据工作表现、工作能力、工作效果,酌情评定社会工作能力分0--2分。(由班长和辅导员打分) 2、对于班、院、校干的打分,做两个或多个职务的同学可以累积加分,(即最高职务分+另一职务的1/2)但全部分数加起来超过5分的以5分计。具体如下:(校、院一致) 学生会主席、团委办公室主任、团委副书记加2.5分 副主席、主席助理、办公室副主任、各部部长、青年志愿者协会会长、贴心服务社社长加2分 各部副部长加1.5分 全体普通干事加1分 (班级) 班长、团支书、学习委员加2.5分 组宣科委员、生劳委员、体育委员、心理保健员、文艺委员、舍长加 1分

EDA技术实用教程-VHDL版课后答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 第二章 2-1 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 2-3 叙述ASIC的设计方法。P18~19 答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。 2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18 答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输

《龙岩学院专业技术职务聘期考核管理办法(试行)》

岩学院人〔2014〕59号 关于印发《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》的通知 各单位: 现将《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》印发给你们,请遵照执行。 附件:1.龙岩学院高校教师类专业技术职务聘期考核基本要求 2.龙岩学院实验技术类专业技术职务聘期考核基本要求 3.龙岩学院图书资料、档案、出版专业类和公共服务类 专业技术职务聘期考核基本要求 龙岩学院 2014年12月23日

龙岩学院教师等专业技术职务聘期 考核管理办法(试行) 根据《福建省人民政府关于进一步支持高校加快发展的若干意见》(闽政〔2012〕47号)、《福建省高校教师等专业技术职务聘任制实施办法(试行)》(闽人〔2012〕206号)和《龙岩学院教师等专业技术职务聘任制实施方案(试行)》等文件精神,结合我校实际,制定本办法。 一、指导思想与基本原则 (一)指导思想 以邓小平理论、“三个代表”重要思想和科学发展观为指导,进一步深化我校人事制度改革,实行教师等专业技术职务聘任考核管理制度,形成职务能上能下、待遇能高能低,有利于优秀人才脱颖而出,人尽其才、充满活力的用人机制。 (二)基本原则 教师等专业技术职务聘期考核管理应遵循如下原则: 1.在公平、公正、公开的环境下进行的原则; 2.有利于完成办学任务和提高教学质量、提升科研和服务社会水平的原则; 3.根据岗位制定不同类别专业技术职务和岗位的考核要求的原则; 4.肯定教师的历史贡献,对具有高级专业技术职务接近退休的教师给予有条件保障的原则; 5.严格按照工作任务要求进行考核管理的原则。 二、实施范围与对象 1.聘任在教师等专业技术职务的人员; 2.具有由省级主管部门组织评审的专业技术职务资格但未

EDA技术实用教程(第四版)习题答案

《EDA技术实用教程(第四版)》习题 3 习题 3-1 画出与以下实体描述对应的原理图符号元件: ENTITY buf3s IS --实体1:三态缓冲器 PORT(input:IN STD_LOGIC; --输入端 enable:IN STD_LOGIC; --使能端 output:OUT STD_LOGIC); --输出端 END buf3s ; ENTITY mux21 IS --实体2: 2选1多路选择器 PORT(in0, in1,sel: IN STD_LOGIC; output:OUT STD_LOGIC); 3-2 图3-16所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序,选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=’0’,s0=’0’;s1=’0’,s0=’1’;s1=’1’,s0=’0’和s1=’1’,s0=’1’时,分别执行y<=a、y<=b、y<=c、y<=d。 图3-16 4选1多路选择器 --解1:用IF_THEN语句实现4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; mux21 in0 output in1 sel buf3s input output enable

ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE if_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN IF s0s1 = "00" THEN y <= a; ELSIF s0s1 = "01" THEN y <= b; ELSIF s0s1 = "10" THEN y <= c; ELSE y <= d; END IF; END PROCESS; END ARCHITECTURE if_mux41; --解2:用CASE语句实现4选1多路选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE case_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据类型BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN CASE s0s1 IS --类似于真值表的case语句 WHEN "00" => y <= a; WHEN "01" => y <= b; WHEN "10" => y <= c; WHEN "11" => y <= d; WHEN OTHERS =>NULL ; END CASE; END PROCESS; END ARCHITECTURE case_mux41;

龙岩学院关于修订各专业培养方案的指导意见

龙岩学院关于修订各专业培养方案的指导意见培养方案是高等教育人才培养模式的具体化,是人才培养的重要蓝图,是课程体系改革的体现,是培养学生素质和提升学生专业水平的框架,是保证教学质量的基础,是组织教学过程、安排教学任务、规定学习任务的根本依据,是学校教学管理和教学运行的重要文本。为更好地适应高等教育的改革与发展,根据教育部《关于普通高校修订本科专业培养方案的原则意见》和福建省教育厅《福建省高等学校教学常规管理规范》的精神,结合我校的具体情况,现按学分制教学管理的要求,对我校各专业培养方案的修订工作提出以下意见: 一、指导思想 专业培养方案的修订工作要以邓小平理论和“三个代表”的重要思想、科学发展观为指导,全面体现“教育要面向现代化、面向世界、面向未来”的时代精神,坚定不移地贯彻落实党的教育方针,遵循高等教育、教育工作的基本规律,以21世纪高等教育发展为先导,积极地吸收高等教育在教育思想与教育观念、教学内容与课程体系、教学方法与教学手段等方面取得的成果,以改革和创新的精神,构建适应社会人才多元化和学生发展多元化需要的人才培养体系,培养德、智、体、美全面发展的专门人才。师范类专业毕业的学生还必须具有现代教育理念,懂得教育学、心理学基本知识,掌握现代教育技术,适应基础教育改革和发展的需要。 二、基本原则 (一)培养方案的修订要坚持知识、能力、素质协调发展和综合提高的原则,使学生在德、智、体、美等方面得到更好的全面发展,重点是要为学生构设一个合理的知识、能力、素质结构。为此,第一、在知识、能力、素质的关系上,要强调加强学生的全面素质培养,要在重视知识传授的基础上,大力加强学生获取知识、提出问题、分析问题和解决问题的能力培养,要将知识、能力内化为素质;第二、在基础教学与专业教学的关系上,要强调拓宽基础教学的内涵,改变教育内容偏窄、偏专的倾向,要加强包括自然科学基础和人文科学基础在内的基础知识、基本理论、基本技能的教学和基本素质的培养,采取多种形式加强文化素质教育,使学生通过学习能够构建起可适应终身教育及社会发展变化需要的知识、能力结构和基本素质;第三、要加强和改进培养学生创造性思维的教学环节,把培养学生创新思维能力融合于教学的全过程之中。 (二)培养方案的修订要充分体现整体优化的原则,科学地处理好各教学环节的关系。首先,要进行课程整合,根据培养目标构建融会贯通、紧密配合、有机联系的课程体系,改变内容陈旧、分割过细和简单拼凑的状况,避免脱节和不必要的重复,防止“因人设课”和“因无人而不设课”的情况出现,加强同类专业课程内容和体系上统筹和协调;其次,要处理好理论教学与实践教学的关系,加强教学科研和社会实践的有机结合,丰富实践教学内容、方式和途径。第三、要处理好课内教学和课外指导的关系,改变单纯重视课堂教学的倾向,通过

EDA技术实用教程第五版第13章习题答案

13-1进程有哪几种主要类型?不完全组合进程是 由什么原因引起的?有什么特点?如何避免? 解:两种: (1) begin 顺序语句 end process (2) begin wait 语句; 顺序语句 end process 两个的主要不同就在于敏感信号的不同 13-2比较CASE 语句与WITH_SELECT 语句,叙述它 们的异同点。并用WITH_SELECT_WHEN 语句描述4个16位至1个16位输出的4选1多路选择器。 答:①相同点:CASE 语句中各子句的条件不能有重叠,必须包容所有的条件;WITH_SECLECT 语句也不允许选择值有重叠现象,也不允许选择值涵盖不全的情况。另外,两者对子句各选择值的测试都具有同步性,都依赖于敏感信号的变化。 不同点:CASE 语句只能在进程中使用,至少包含一个条件语句,可以有多个赋值目标;WITH_SECLECT 语句根据满足的条件,对信号进行赋值,其赋值目标只有一个,且必须是信号。 ②LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux IS PORT( dina : IN STD_LOGIC_VECTOR(0 to 15); dinb : IN STD_LOGIC_VECTOR(0 to 15); dinc : IN STD_LOGIC_VECTOR(0 to 15); dind : IN STD_LOGIC_VECTOR(0 to 15); sel: IN STD_LOGIC_VECTOR(0 to 1); dout : OUT STD_LOGIC_VECTOR(0 to 15)); END mux; ARCHITECTURE rtl OF mux IS BEGIN with sel select dout<=dina WHEN "00", dinb WHEN "01", dinc WHEN "10", dind WHEN "11", "ZZZZZZZZZZZZZZZZ" when others; END rtl; 13-3 为什么说一条并行赋值语句可以等效为一 个进程?如果是这样的话,该语句咋么实现敏感信号的检测? 解:因为信号赋值语句的共同点是赋值目标必须都是信号,所有赋值语句与其它并行语句一样,在结构体内的执行是同时发生的,与它们的书写顺序没有关系,所以每一信号赋值语句都相当于一条缩写的进程语句。由于这条语句的所有输入信号都被隐性地列入此缩写进程的敏感信号表中,故任何信号的变化都将相关并行语句的赋值操作,这样就实现了敏感信号的检测。 13-4 在STRING,TIME ,REAL,BIT 数据类型中,VHDL 综合器支持哪些类型? 答:VHDL 支持BIT 类型和STRING 类型,其他属于用户定义的数据类型不能综合 13-5 判断下列VHDL 标识符是否合法,如果有误 则指出原因16#0FA#,10#12F#,8#789#,8#356#,2#0101010#,74HC245,\74HC574\,CLR/RESET ,\IN 4/SCLK\, D100%。 答:识符用法规定:(1)只能包含英文字母,数字,下划线(2)标识符的首字符只能是字母。故: (1)16#0FA#错在首字符是数字,且包含非法字符“#“。 10#12F#、8#789#,8#356#,2#0101010#,74HC245也是犯同一错误。 (2)\74HC574\,CLR/RESET,\IN4/SCLK\,D100%都 是非法,包含非法字符…. 13-6 数据类型BIT,INTEGER 和BOOLEAN 分别定义 在那个库中?哪些库和程序包总是可见的? 答:BIT 定义在IEEE 库中,INTEGER 和BOOLEAN 定义在STD 库中,除了STD 库和WORK 库外,IEEE 库面向ASIC 的库和用户自定义的库及其中的包集合 13-7 函数与过程的设计与功能有什么区别? 调用上有什么区别? 1.函数的定义由函数首和函数体两部分组成,在进程或结构体中不必定义函数首,而在程序包中必须定义函数首。 过程也由过程首和过程体构成,在进程或结构体中不必定义过程首,而在过程包中必须定义过程首。 2.函数是串行,过程是串行。 3区别:(1)参数表的区别。函数的参数表是用来定义输出值的,所以不必以显式表示参数的方向;过程的参数表可以对常数、变量和信号三类数据对象目标作出说明,并用关键词IN 、OUT 和INOUT 定

龙岩学院授予学士学位工作实施细则(试行)

龙岩学院授予学士学位工作实施细则(试行)(2009.9.1修订) 时间:2009-10-13 10:23:43 来源:龙岩学院教务处阅读832次 (二OO九年九月一日修订) 为做好我校学士学位授予工作,保证学士学位授予质量,根据《中华人民共和国学位条例》和《福建省普通高等学校学士学位授予工作暂行办法》,结合我校实际,特制定本细则。 一、学位评定委员会的组成: 校学位评定委员会由十五至二十五人组成,每届任期三年,设主席一人,副主席若干人,委员会成员原则上由具有副高以上(含副高)职称的人员组成。各院(系)成立学位评定分委员会,学位评定分委员会由五至九人组成,每届任期二年,设主席一人,副主席二人,委员会成员原则上由具有副高以上(含副高)职称的人员组成,分委员会主席必须由校学位评定委员会委员担任。 二、校学位评定委员会的职责: 1、根据学位条例的规定,审议学士学位授予专业。 2、审批各院(系)学位评定分委员会成员名单。 3、审查通过学士学位获得者名单。 4、处理授予学士学位工作中的争议和其他事项。 三、院(系)学位评定分委员会职责: 1、审批毕业论文(设计)等答辩委员会成员名单。

2、按学位授予条件对本科毕业生的政治思想表现、课程成绩、毕业实习(教育实习)和毕业论文(设计)等进行审查,提出拟授予学士学位的学生名单和拟不授予学士学位的学生名单。 3、向校学位评定委员会反映有关授予学位的争议问 题,并提 出处理意见。 4、处理校学位评定委员会授权办理的有关事项。 四、校学位评定委员会下设办公室,负责学位评定委员会的有关工作,办公室设在教务处。 五、授予学士学位的条件: 1、本科毕业的学生,拥护中国共产党的领导,遵纪守法,遵守学术道德规范。 2、较好地掌握本门学科的基础理论、专业知识和基本技能,具有从事教学、科学研究或担负专业技术工作的初步能力。 3、毕业实习(教育实习)、毕业论文(设计)的成绩达中等(含中等)以上。 六、有下列情形之一者,不授予学位: 1、因考试违纪受到留校察看处分者; 2、经重修才获得的学分超过28学分者。 七、学士学位审批按以下程序进行: 1、各院(系)学位评定分委员会根据授予学士学位授

龙岩学院大地测量学与测量工程

龙岩学院大地测量学与测量工程 重点学科 自 评 报 告 二0一0年四月

大地测量学与测量工程属于测绘科学与技术的三个二级学科之一,我校该学科下招收的测绘工程专业是我省当时唯一的测绘类本科专业(闽江学院已于2007年开始招收该专业本科生),在校院两级领导的关心和指导下,几年来,经过该学科全体教师的共同努力,学科建设按计划进展顺利。现结合近几年来测量教研室在重点学科建设中的发展历程报告如下,请各位专家审阅。 一、学术队伍整体教研、科研能力较强,发展趋势良好 1、学科带头人 大地测量学与测量工程学科负责人陈绍杰副教授,1988年中国矿业大学矿山测量专业本科毕业,2007年至今在山东科技大学在职攻读硕士学位,2001年取得高级讲师职称,2003年取得副教授资格,中国测绘学会矿山测量委员会委员、福建省测绘学会理事。现任龙岩学院资源工程学院党总支书记,具有较强的教学、科研和管理能力。系统讲授了本科生的《测量平差》、《测绘学概论》、《遥感原理与应用》等课程,教学效果好。近4年来,以第一作者在测绘工程权威刊物上发表论文6篇,与他人合作发表论文1篇,出版学术专著1部,作为主要人员参加国土环境与灾害监测国家测绘局重点试验室开放式基金项目1项,主持地市级科研课题5项。近三年可支配科研经费共13万,年均科研经费4.3万。 2、专业教师队伍 经过几年的努力,测绘专业的师资力量得到了增强,教师队伍的专业结构、职称结构、学历结构、年龄结构趋于合理,学术梯队已基本形成,能较好地满足教学和科研的需要。教师的学缘组成良好,8位专业教师分别毕业于中国矿业大学、西安工程学院、江西理工大学、山东科技大学。全日制本科学生163名,生师比为20.38:1。 现有8名专任教师都从事测绘科学与技术学科的教学与科研工作,其中具有硕士学历(或学位)教师2人,占25%;2名教师在职攻读硕士学位,占25%;教师中副高职称3人、中级职称3人、初级职称2人,百分比分别为37.5%、37.5%、25%。 教师年龄结构:中年(36~55岁)5人,占62.5%;青年(35岁以下)3人,占37.5%。教师年龄结构较好,中年教师占多数,教学经验丰富。 注重中、青年教师的培养,鼓励中、青年教师考研、进修,同时,每位年轻教师均安排资历较深、经验丰富的教师作为他们的导师,担任教学和科研的指导,学科学术梯队已基本形成。 3、实验教学队伍 目前测绘工程专业实验教师都由兼职教师组成,各课程实验主要由任课教师负责,实验室管理主要由2位实验系列职称教师负责,8名兼职实验教师中有副教授3名、讲师2名、助教1名、实验师1名、助理实验师1名。

龙岩学院2012-2013(1)l全校课程表

龙岩学院外国语学院2012~2013学年第一学期课程表(一)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 教务处外国语学院 二○一二年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 教务处外国语学院 二○一二年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 教务处外国语学院 二○一二年九月

龙岩学院化学与材料学院2012~2013学年第一学期课程表(二) 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 教务处化材院 二○一二年九月

EDA技术使用教程课后答案

第二章 2-1 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 2-3 叙述ASIC的设计方法。P18~19 答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。 2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18 答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。 第三章 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。P34~36 OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC (输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-4 FPGA系列器件中的LAB有何作用? P43~45 答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。 3-5 与传统的测试技术相比,边界扫描技术有何优点? P47~50 答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数

龙岩学院毕业证样本学位证样本历任校(院)长学校代码

龙岩学院毕业证样本学位证样本历任校(院)长学校代码 龙岩学院学院简介 龙岩学院是经中华人民共和国教育部批准设立的实行“省市共建、以市为主”管理体制的全日制多科性本科院校。学校面向22个省(市、区)招收本专科学生以及少数民族预科生,全日制在校生11193人,其中本科生10110人;现有教职工737人,专任教师433人,教师中具有副高以上职称218人、具有博士、硕士学位418人;享受国务院政府特殊津贴专家2人;设有12个二级学院(系)和1个思想政治理论课教学研究部,有36个本科专业,所设专业涵盖文学、理学、工学、经济学、管理学、教育学、农学、艺术学等八大学科门类。 学校所设专业涵盖文学、理学、工学、经济学、管理学、教育学、农学、艺术学等八大学科门类。学校占地面积869.8亩,校舍建筑总面积28.72万平方米,现有教学科研仪器设备总值9235万元,配备较为完善的体育设施和多种类的活动场所;图书馆馆藏电子图书140余万册、纸质图书80余万册、纸质报刊1600余种,近三年,学校年度就业率平均达到95%以上,被评为福建省本科高校就业工作优秀单位。 建校以来,学校已培养4万多名本专科毕业生,校

友遍布全国各地、各行业,其中大多数校友已成为当地基础教育和经济社会发展的骨干力量。近几年,学校先后与美国、巴西、澳大利亚等国家和台湾、澳门等地区的高等院校及教育机构建立了校际友好合作关系,与国内许多重点院校、科研机构积极开展学术交流与合作。学校与地方政府、经济开发区、企业等建立了校地、校产、校企合作战略联盟,融入海西区域经济发展,为闽西提供技术服务和智力支持。 历任校(院)长:现任校长陈勇(如学校人员调动,未及时更新,以实际为准,此数据仅供参考) 学校代码:11495 1:1998年-2006年的学位证书采取全国统一编号,证书编号为12位数,前五位为学位授予单位代码;第六位为授予单位的级别,后四位为各校按授予人员排序的顺序号码。 2: 2006年后学位证书编号为16位。1 : 普通博士、硕士、学士学位证书编号调整为16位数:前五位为学位授予单位代码;第六位为授予学位的级别,博士为2,硕士为3,学士为4;第七至第十位为授予学位的年份。 2 : 普通学士学位中的“双学位”和“第二学位”证书,分别在第十一位用一个汉语拼音字母“S”和“E”加以区别,其余与普通学士学位证书编号方式相同。总位数为16位。3 : 成人高等教育本科毕业生所获学位证书,在起始位置加“C”,与普通学士学位证书加以区分,其后续编号为16位数, 成人学士学位证书第十一位为9,普通学士学位证书第十一位可使用0至8中的任何数字,但不得使用数字9,证书其他位的编制规则相同。4 : 自考生所获学位证书,第十一位用汉语拼音字母“Z”标明,其余与成人学士

EDA技术实用教程课后习题答案

第一章 1-1 EDA 技术与 ASIC 设计和 FPGA 开发有什么关系 答:利用 EDA 技术进行电子系统设计的最后目标是完成专用集成电路 ASIC 的设计和实现;FPGA 和 CPLD 是实现 这一途径的主流器件。FPGA 和 CPLD 通常也被称为可编程专用 IC,或可编程 ASIC。FPGA 和 CPLD 的应用是 EDA 技术 有机融合软硬件电子设计技术、SoC(片上系统)和 ASIC 设计,以及对自动设计与自动实现最典型的诠释。 1-2 与软件描述语言相比,VHDL 有什么特点 P6 答:编译器将软件程序翻译成基于某种特定 CPU 的机器代码,这种代码仅限于这种 CPU 而不能移植,并且机器 代码不代表硬件结构,更不能改变 CPU 的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将 VHDL 程序转化的目标是底层的电路结构网表文件,这种满足 VHDL 设计程序功能描述的电路结构,不依赖于任何特定硬 件环境;具有相对独立性。综合器在将 VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具 有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约 束条件,选择最优的方式完成电路结构的设计。 l-3 什么是综合有哪些类型综合在电子设计自动化中的地位是什么 什么是综合答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层 次的便于具体实现的模块组合装配的过程。 有哪些类型答:(1)从自然语言转换到 VHDL 语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器 传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从 RTL 级表示转换到逻 辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到 FPGA 的配置网表 文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器 在接受 VHDL 程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综 合的诸多约束条件信息;根据工艺库和约束条件信息,将 VHDL 程序转化成电路实现的相关信息。 1-4 在 EDA 技术中,自顶向下的设计方法的重要意义是什么 P7~10答:在 EDA 技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP 在 EDA 技术的应用和发展中的意义是什么 P11~12 答:IP 核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 第二章 2-1 叙述 EDA 的 FPGA/CPLD 设计流程。 P13~16 答:1.设计输入(原理图/HDL 文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP 是什么IP 与 EDA 技术的关系是什么 P24~26 IP 是什么答:IP 是知识产权核或知识产权模块,用于 ASIC 或FPGA/CPLD 中的预先设计好的电路功能模块。 IP 与 EDA 技术的关系是什么答:IP 在 EDA 技术开发中具有十分重要的地位;与 EDA 技术的关系分有软 IP、 固 IP、硬 IP:软 IP 是用 VHDL 等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软 IP 通常是以硬件描述语言 HDL 源文件的形式出现。固 IP 是完成了综合的功能块,具有较大的设计深度,以网表文件 的形式提交客户使用。硬 IP 提供设计的最终阶段产品:掩模。 2-3 叙述 ASIC 的设计方法。 P18~19 答:ASIC 设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

相关文档
最新文档