4-反相器

CMOS反相器电路版图设计与仿真

CMOS反相器电路版图设计与仿真 姓名:邓翔 学号:1007010033 导师:马奎 本组成员:邓翔石贵超王大鹏

CMOS反相器电路版图设计与仿真 摘要:本文是基于老师的指导下,对cadence软件的熟悉与使用,进行CMOS反相器的电路设计和电路的仿真以及版图设计与版图验证仿真。 关键字:CMOS反相器;版图设计。 Abstract:This article is based on the teacher's guidance, familiar with cadence software and use, for CMOS inverter circuit design and circuit simulation and landscape and the landscape design of the simulation. Key word:CMOS inverter;Landscape design. 一引言 20世纪70年代后期以来,一个以计算机辅助设计技术为代表的新的技术改革浪潮席卷了全世界,它不仅促进了计算机本身性能的进步和更新换代,而且几乎影响到全部技术领域,冲击着传统的工作模式。以计算机辅助设计这种高技术为代表的先进技术已经、并将进一步给人类带来巨大的影响和利益。计算机辅助设计技术的水平成了衡量一个国家产业技术水平的重要标志。 计算机辅助设计(Computer Aided Design,CAD)是利用计算机强有力的计算功能和高效率的图形处理能力,辅助知识劳动者进行工程和产品的设计与分析,以达到理想的目的或取得创新成果的一种技术。它是综合了计算机科学与工程设计方法的最新发展而形成的一门新兴学科。计算机辅助设计技术的发展是与计算机软件、硬件技术的发展和完善,与工程设计方法的革新紧密相关的。采用计算机辅助设计已是现代工程设计的迫切需要。 电子技术的发展使计算机辅助设计(CAD)技术成为电路设计不可或缺的有力工具。国内外电子线路CAD软件的相继推出与版本更新,是CAD技术的应用渗透到电子线路与系统设计的各个领域,如电路图和版图的绘制、模拟电路仿

CMOS反相器设计

集成电路设计基础 论文题目:CMOS反相器 学院:信息科学与工程学院专业:集成电路工程 姓名:杨丹 学号:1211082132

CMOS 反相器电路设计及其版图仿真 姓名:杨丹 学号:1211082132 摘要: CMOS 技术自身的巨大发展潜力是IC 高速持续发展的基础。集成电路制造水平 发展到深亚微米工艺阶段,CMOS 的低功耗、高速度和高集成度得到了充分的体现。本文主要简单的介绍CMOS 反相器电路的基本理论,以及基于Cadence 的CMOS 反相器的电路仿真和版图设计。 关键词:CMOS 、反相器、Cadence 、版图 Abstract: CMOS is the basis for high speed and sustainable development of IC, which own huge development potential. Integrated circuit manufacturing level to the development of deep sub-micron technology, the low power, high speed and high integration of CMOS has been fully embodied. This paper mainly introduces the basic theory of CMOS inverter circuit. And the CMOS inverter circuit simulation and layout design that based on the Cadence. Key Word: CMOS 、inverter circuit 、Cadence 、layout 一、引言 反相器是确实是所有数字设计的核心。一旦清楚理解了它的工作和性质,设计诸如逻辑门、加法器、乘法器和微处理器等比较复杂的结构就大大地简化了。这些复杂电路的电气特性几乎完全可以由反相器中得到结果推断出来。反相器的分析可以延伸来解释比较复杂的门(如NAND 、NOR 或XOR )的特性,她们又可以形成建筑块来构成如乘法器和处理器这样的模块。 本论文将集中讨论反相器的工作原理和几种重要特性,并对反相器的设计作出相关的分析。 二、工作原理 1. CMOS 反相器电路 图1显示了一个CMOS 反相器的电路图,它由两只增强型MOSFET 组成,其中T N 为N 沟道结构,T P 为P 沟道结构。两只MOS 管的栅极连在一起作为输入端;漏极连在一起作为输出端。按照图1标明的电压与电流方向,I v =GSN v ,O v =DSN v ,并设DN i =DP i =D i 。为了能使电路正常工作,要求电源电压DD V 大于两只MOS 管的开启电压的绝对值之和,即DD V >(TN V +TP V )。

反相器的设计与仿真

0.18umCMOS反相器的设计与仿真 2016311030103 吴昊 一.实验目的 在SMIC 0.18um CMOS mix-signal环境下设计一个反相器, 使其tpHL二tpLH,并且tp越小越好。利用这个反相器驱动2pf电容, 观察tp。以这个反相器为最小单元,驱动6pf电容,总延迟越小越好。制作版图,后仿真,提取参数。 二.实验原理 1?反相器特性 1、输出高低电平为VDD和GND电压摆幅等于电源电压; 2、逻辑电平与器件尺寸无关; 3、稳态是总存在输出到电源或者地通路; 4、输入阻抗高; 5、稳态时电源和地没通路; 2?开关阈值电压Vm和噪声容限 Vm的值取决于kp/kn L " W k = - 所以P管和N管的宽长比值不同,Vm的值不同。增加P管宽度使Vm移向Vdd,增加N管宽度使Vm移向GNB 当Vm=1/2Vdd时, 得到最大噪声容限。

要使得噪声容限最大,PMOS部分的尺寸要比NMOS大,计算结果是3.5倍,实际设计中一般是2~2.5倍。 3?反向器传播延迟优化 1、使电容最小(负载电容、自载电容、连线电容) 漏端扩散区的面积应尽可能小 输入电容要考虑:(1)Cgs随栅压而变化 (2)密勒效应 (3)自举电路 2、使晶体管的等效导通电阻(输出电阻)较小: 加大晶体管的尺寸(驱动能力) 但这同时加大自载电容和负载电容(下一级晶体管的输入电容) 3、提咼电源电压 提高电源电压可以降低延时,即可用功耗换取性能。但超过一定程度后改善有限。电压过高会引起可靠性问题?当电源电压超过2Vt 以后作用不明显. 4、对称性设计要求 令Wp/Wn二卩p/卩u可得到相等的上升延时和下降延时,即tpHL 二tpLH。仿真结果表明:当P, N管尺寸比为1.9时,延时最小,在2.4时为上升和下降延时相等。 4?反相器驱动能力考虑 1?单个反相器驱动固定负载

CMOS反相器的版图设计

实验一:CMOS反相器的版图设计 一、实验目的 1、创建CMOS反相器的电路原理图(Schematic)、电气符号(symbol)以及版图(layout); 2、利用’gpdk090’工艺库实例化MOS管; 3、运行设计规则验证(Design Rule Check,DRC)确保版图没有设计规则错误。 二、实验要求 1、打印出完整的CMOS反相器的电路原理图以及版图; 2、打印CMOS反相器的DRC报告。 三、实验工具 Virtuoso 四、实验内容 1、创建CMOS反相器的电路原理图; 2、创建CMOS反相器的电气符号; 3、创建CMOS反相器的版图; 4、对版图进行DRC验证。

1、创建CMOS反相器的电路原理图及电气符号图 首先创建自己的工作目录并将/home/iccad/复制到自己的工作目录下(我的工作目录为/home/iccad/iclab),在工作目录内打开终端并打开virtuoso(命令为icfb &). 在打开的icfb –log中选择tools->Library Manager,再创建自己的库,在当前的对话框上选择File->New->Library,创建自己的库并为自己的库命名(我的命名为lab1),点击OK后在弹出的对话框中选择Attach to an exiting techfile并选择的库,此时Library manager的窗口应如图1所示: 图1 创建好的自己的库以及inv 创建好自己的库之后,就可以开始绘制电路原理图,在Library manager窗口中选中lab1,点击File->New->Cell view,将这个视图命名为inv(CMOS反相器)。需要注意的是Library Name一定是自己的库,View Name是schematic,具体如图2所示: 图2 inv电路原理图的创建窗口 点击OK后弹出schematic editing的对话框,就可以开始绘制反相器的电路原理图(schematic view)。其中nmos(宽为120nm,长为100nm.)与pmos(宽为240nm,长为100nm.)从这个库中添加,vdd与gnd在analogLib这个库中添加,将各个原件用wire连接起来,连接好的反相器电路原理图如图3所示:

集成电路基础实验cadence反相器设计

题目:反相器分析与设计 姓名:白进宝 学院:微电子与固体电子学院 学号:201722030523 签名:教师签名:

摘要 CMOS指互补金属氧化物(PMOS管和NMOS管)共同构成的互补型MOS集成电路制造工艺,它的特点是低功耗。由于CMOS中一对MOS组成的门电路在瞬间看,要么PMOS导通,要么NMOS导通,要么都截至,比线性的三极管(BJT)效率要高得多,因此功耗很低。本次设计的是反相器,通过电路搭建前仿真,实现其功能。然后进行版图设计,提取寄生参数后进项后仿真。 关键词:CMOS、反相器、低功耗、集成电路版图 1、技术指标要求 面积:100um2 速度:大于1GHz 功耗:功耗与电源电压、工作速度、负载等诸多因素有关。 2、电路搭建 工艺库:smic18mmrf 器件参数: 设置NMOS与PMOS宽长比。 电路结构:

如图,电路结构。有两级反相器组成,第二级为负载,因为在实际电路中电路都是带载的。

分别作NMOS和PMOS的直流输出特性曲线,NMOS的阈值电压大约为0.5V左右,PMOS的阈值电压大约为0.6V左右。 3、仿真 (1)进行直流传输特性仿真分析

图一电源电压为5V,图二电源电压为2V。可以看到图二的特性比图一好,这是由于降低的电压,从而使特性变好。继续降低电源电压为1V后,特性更好。但是当降到200mV时,特性反而变差。这是由于当电压降到接近于阈值电压或更低时,管子无法导通,性能变差。 (2)瞬态特性分析 瞬态特性分析,反相器实现非门的功能。

将时间轴拉长,可以看到当输出反向时,存在一个过冲现象,这是由于栅漏电容造成。 (3)工作频率分析 上图为反相器没有带负载的情况下测出的下降时间,下图为带一个反相器测出的下降时间。从而我们可以得出电路的扇出越多,性能越差,所以在数字电路中,我们尽量将扇出控制在4以内。更多的扇出将通过组合电路多级实现。 由图可得上升时间为23.85ps,下降时间为29.25ps。 工作频率=1/(2×max(上升时间,下降时间))=17GHz (4)功耗分析

反相比例运算电路

西安建筑科技大学华清学院课程设计(论文) 课程名称:模拟电子线路电课程设计 题目:反相比例运算电路 院(系):机械电子工程系 专业班级:电子信息科学与技术0902 姓名:谢宏龙 学号:0906030216 指导教师:高树理 2011年7 月8 日

摘要 本设计主要通过Multisim软件实现了对模拟电子基础中的集成运电路的设计和模拟。小组成员分别对由集成运放电路组成的反相运算放大电路和同相运算放大电路进行设计。设计主要内容包括:由集成运算放大电路组成的反相比例运算放大电路跟随器的输出波形的观察和比较,求出它的电压放大倍数,电阻的分析和比较,共模输入电压的比较分析,构成同相比例运算放大电路的原理和特性的介绍,通过对同相和反相比例运算放大电路的比较得出一些结论。在本设计中,不仅包括实验所要求的内容,而且对由集成运算放大电路构成的同相放大电路和由集成运放构成的反相比例运算放大电路原理和作用作了比较详细的的说明,这样能够使大家更好的对其组成的电路能够更好的了解,同时也使人们了解到了其的应用以及功能所在,以便更合理的应用它们。 关键字Multisim,反相运算放大器,同相运算放大器,

目录 1绪论 (2) 2M u l t i s i m的简介 (3) 3集成运算放大器电路的介绍和特性 (3) 3.1介绍 (3) 3.2特性 (3) 4由集成运算短路构成的反相比例运算电路的设计 (4) 4.1电路图设计 (4) 4.2反相比例运算电路波形的观察 (4) 4.3 由集成运算短路构成的反相比例运算电路特性 (5) 5 由集成运算短路构成的同相比例运算电路的特性和原理 (5) 5.1原理 (5) 5.2特性 (6) 6反相比例运算电路和同相电路的对比 (6) 7课设的体会与心得 (6) 8结束语 (7)

反向比例运算电路

反向比例运算电路 (1)电路的组成 图—1 反向比例运算电路的组成如图—1所示。由图可见,输入电压u i 通过电阻R 1加在运放的反向输入端。R f 是沟通输出和输入的通道,是电路的反馈网络。 同向输入端所接的电阻R P 为电路的平衡电阻,该电阻等于从运放的同向输入端 往外看除源以后的等效电阻,为了保证运放电路工作在平衡的状态下,同相输入端的电阻应该取 R P =R 1//R f (2)电压放大倍数

图-2 理想运算放大器组成的反相比例运算电路见图-2,显然是一个电压并联负反馈电路。 在输入信号作用下,输入端有电流i I、i′I、 i f 。 根据虚断的特性有i'I≈0 于是i I≈i f 根据虚短的特性,有u+ ≈ u- 所以 放大倍数A u为 (3)反向比例运算电路的输入电阻 为了保证运放电路工作在平衡的状态下,同相输入端的电阻应该取 R P =R1//R f (4)由于反向比例运算电路具有虚地的特点。所以共模输入电压为 反相比例运算电路由于具有“虚地”的特点,运放的同相输入端和反相输入端均为0电位,所以反相比例运算电路的共模输入电压等于0。 结论: 1. 电路是深度电压并联负反馈电路,理想情况下,反相输入端“虚地”,共模输入电压低。 2. 实现了反相比例运算。|Au| 取决于电阻 R f和 R1之比。U0与 U i反相, | Au | 可大于1、等于 1 或小于 1 。 3. 电路的输入电阻不高,输出电阻很低。 4. 虽然理想运放的输入电阻为无穷大,由于引入并联负反馈后,电路的输入电阻减少了,变成R 1 ,要提高反向比例运算放大器的输入电阻,需加大电阻 R 1的值。R 1 的值越大,R f 的值也必需加大,电路的噪声也加大,稳定性越差。 f o 1 I R u R u - ≈ 1 I I I I i R i u i u R= - = =

二输入与非门、或非门版图设计

课程名称Course 集成电路设计技术 项目名称 Item 二输入与非门、或非门版图设 计 与非门电路的版图: .spc文件(瞬时分析): * Circuit Extracted by Tanner Research's L-Edit / Extract ; * TDB File: E:\cmos\yufeimen, Cell: Cell0 * Extract Definition File: C:\Program Files\Tanner EDA\L-Edit\spr\ * Extract Date and Time: 05/25/2011 - 10:03 .include H:\ VPower VDD GND 5 va A GND PULSE (0 5 0 5n 5n 100n 200n) vb B GND PULSE (0 5 0 5n 5n 50n 100n) .tran 1n 400n .print tran v(A) v(B) v(F) * WARNING: Layers with Unassigned AREA Capacitance. * * *

*

* *

* WARNING: Layers with Unassigned FRINGE Capacitance. * * * * *

* *

* * WARNING: Layers with Zero Resistance. * * * * * NODE NAME ALIASES * 1 = VDD (34,37) * 2 = A , * 3 = B , * 4 = F , * 6 = GND (25,-22) M1 VDD B F VDD PMOS L=2u W=9u AD=99p PD=58u AS=54p PS=30u * M1 DRAIN GATE SOURCE BULK M2 F A VDD VDD PMOS L=2u W=9u AD=54p PD=30u AS=99p PS=58u * M2 DRAIN GATE SOURCE BULK M3 F B 5 GND NMOS L=2u W= AD= PD=30u AS=57p PS=31u * M3 DRAIN GATE SOURCE BULK -18 M4 5 A GND GND NMOS L=2u W= AD=57p PD=31u AS= PS=30u * M4 DRAIN GATE SOURCE BULK -18 * Total Nodes: 6 * Total Elements: 4 * Extract Elapsed Time: 0 seconds .END 与非门电路仿真波形图(瞬时分析):

集成电路版图设计-反相器-传输门

集成电路版图设计 实验报告 学院:电气与控制工程学院班级:XXXXXXXXXX 学号:XXXXXXXX 姓名:XXXX 完成日期:2015年1月22日

一、实验要求 1、掌握Linux常用命令(cd、ls、pwd等)。 (1)cd命令。用于切换子目录。输入cd并在后面跟一个路径名,就可以直接进入到另一个子目录中;cd..返回根目录;cd返回主目录。 (2)ls命令。用于列出当前子目录下所有内容清单。 (3)pwd命令。用于显示当前所在位置。 2、掌握集成电路设计流程。 模拟集成电路设计的一般过程: (1)电路设计。依据电路功能完成电路的设计。 (2)前仿真。电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 (3)版图设计(Layout)。依据所设计的电路画版图。一般使用Cadence 软件。 (4)后仿真。对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 (5)后续处理。将版图文件生成GDSII文件交予Foundry流片。3、掌握Cadence软件的使用 (1)使用Cadence Schematic Editor绘制原理图。 (2)由Schematic产生symbol。 (3)在测试电路中使用Analog Environment工具进行功能测试。(4)使用Cadence Layout Editor根据原理图绘制相应版图,以

0.6umCMOS设计规则为准。 (5)对所设计的版图进行DRC验证,查错并修改。 以PMOS为例,部分设计规则如下:(um) N-Well包含P+Active的宽度:1.8 MOS管沟道最小宽度:0.75 最小长度:0.6 Active区伸出栅极Ploy的最小延伸长度:0.5 Contact最小尺寸:0.6*0.6 Contact与Contact之间的最小间距:0.7 Active包最小尺寸Contact的最小宽度:0.4 非最小尺寸Contact的最小宽度:0.6 Active上的Contact距栅极Poly1的最小距离:0.6 Metal1包最小尺寸的Contact:0.3 Metal1与Metal1之间的最小间距:0.8

反相比例运算电路仿真分析.doc

1 反相比例运算电路 1.1 综述 反相比例运算电路实际上是深度的电压并联负反馈电路。在理想情况下,反相输入端的电位等于零,称为“虚地”。因此加在集成运放输入端的共模电压很小。 输出电压与输入电压的幅值成正比,但相位相反,因此,电路实现了反相比例运算。比例系数的数值决定于电阻RF与R1之比,而与集成运放内部各项参数无关。只要RF 和R1的阻值比较准确和稳定,即可得到准确额比例运算关系。比例系数的数值可以大于或等于1,也可以小于1。 由于引入了深度电压并联负反馈,因此电路的输入电阻不高,而输出电阻很低。1.2 工作原理 1.2.1 原理图说明 图1.2.1.1 反相比例运算电路 如图所示,输入电压V1经电阻R1接到集成运放的反相输入端,运放的同相输入端经电阻R2接地。输出电压经反馈电阻RF引回到反相输入端。 集成运放的反相输入端和同相输入端,实际上是运放内部输入级两个差分对管的基极。为使差分放大电路的参数保持对称,应使两个差分对管基极对地的电阻尽量一致,以免静态基流流过这两个电阻时,在运放输入端产生附加的偏差电压。因此,通常选择R2的阻值为R2=R1∥RF 经过分析可知,反相比例运算电路中反馈的组态是电压并联负反馈。由于集成运放

的开环差模增益很高,因此容易满足深度负反馈的条件,故可以认为集成运放工作在线性区。所以,可以利用理想运放工作在线性区时“虚短”和“虚断”的特点来分析反相比例运算电路的输出输入关系。 由于“虚断”,U +=0 又因“虚短”,可得 U - =U + =0 由于 I -=0 , 则由图可见 I I =I F 即(U I -U - )/R1=(U—U )/RF 上式中U - =0,由此可求得反相比例运算电路的输出电压与输入电压的关系为 U 0=-RF·U I /R1 1.2.2 元件表 元件名称大小数量 集成运算放大器741 1 直流电源1V 1 电阻 6.8K 1 10K 1 20K 1 1.3 仿真结果分析 图1.3.1 仿真分析结果图 由于输入电压为1V,所以根据公式可得输出电压为-1.997,符合理论。

第三周学习总结-CMOS反相器

CMOS反相器 静态CMOS(Complementary Metal Oxide Semiconductor)反相器是目前最普遍的反相器,其电路图如图1所示,当V in为高并等于V DD时,NMOS管导通而PMOS管截止,此时在Vout 的接地节点之间存在直接通路,形成一个稳态值0V。相反,当输入电压为低(0V)时,NMOS 关断而PMOS管导通,V DD和V out之间存在一条通路,产生一个高电平输出电压。由此实现反相器的功能。 图1.静态CMOS反相器电路 静态CMOS反相器具有以下许多特性: (1)输出高电平和低电平分别为V DD和GND,摆幅为电源电压,噪声容限大; (2)逻辑电平与器件的相对尺寸无关; (3)具有低的输出阻抗,使它对噪声和干扰不敏感; (4)输入阻抗极高,缘于MOS管的栅实际上是一个绝缘体; (5)稳态工作下的电源线和地线之间没有直接的通路,在忽略漏电流的情况下意味着该门不消耗任何静态功率。 反相器的电压传输特性(VTC)可以通过图解法迭加NMOS管和PMOS管的电流特性来得到,最终如图2所示。 图2.CMOS反相器的VTC 门的输出电容C L事实上包括NMOS和PMOS管的漏扩散电容、连线电容以及扇出门的输入电容。门的响应时间由通过管子的导通电阻Rp(或者Rn)充放电容CL所需要的时间决定,传播延迟正比于RC。这意味着可以通过减少输出电容或者减小晶体管的导通电阻实现快速响应。应当注意,NMOS和PMOS晶体管的导通电阻不是常数,而是晶体管的两端电压的非线性函数,确切决定传播延时较为复杂。 反相器稳定性的评估,静态特性: 1.开关阈值V M V M定义为V in=V out的点,在这一区域V DS=V GS,PMOS和NMOS总是饱和,使通过两晶

反相器设计实验

实验一反相器电路设计 一、实验目的和内容 掌握反相器电路的设计流程。完成反相器电路的设计和仿真,完成版图的设计及其DRC、LVS和LPE,最后完成后仿真。 二、实验器材 1.计算机 2.Cadence版图设计工具Virtuoso软件 3.Hspice模拟电路仿真软件 三、实验说明 1.熟悉版图设计工具Virtuoso软件的操作,使用Virtuoso绘制反相器版图 (Layout),利用Diva的DRC文件做设计规则检查,利用LVS文件做电路和版图的一致性检查。 2.熟悉Hspice软件的操作,使用Hspice验证反相器的电路特性。 四、SPICE仿真过程 如何利用HSPICE对反相器电路进行仿真?以下是参考步骤: (1)利用windows自带的记事本编辑仿真程序,并把文件命名为inverter.sp。(2)在记事本内编辑inverter.sp文件,程序说明如下: *Lab1Inverter.sp *********SPICE Library************** .include'hua05.sp' *************************************** .global VDD GND M1OUT IN VDD VDD PMOS W=20u L=0.6u M2OUT IN GND GND NMOS W=10u L=0.6u V1VDD GND5 V2IN GND PULSE(050ns0.5ns0.5ns5ns10ns)

.OPTIONS POST .tran0.01ns100ns .end 利用HSPICE对网表进行仿真,结果如下图所示: 五、反相器Layout设计 1.反相器的设计的设计经过以下几步: 画n-well→PMOS和NMOS的active区→形成poly-si和栅氧化层→形成NMOS的源漏的掺杂→形成PMOS的源漏的掺杂→形成contact孔以及欧姆接触的重掺杂→形成金属层→金属层标注。 至此就完成了反相器Layout的设计。 我设计的反相器版图如下图所示: 2.DRC(Design Rules Check)版图设计规则检查

CMOS反相器

CMOS反相器 由本书模拟部分已知,MOSFET有P沟道与N沟道两种,每种中又有耗尽型与增强型两类。由N沟道与P沟道两种MOSFET组成的电路称为互补MOS或CMOS电路。 下图表示CMOS反相器电路,由两只增强型MOSFET组成,其中一个为N沟道结构,另一个为P沟道结构。为了电路能正常工作,要求电源电压V DD 大于两个管子的开启电压的绝对值之与,即 V DD>(V TN+|V TP|) 。 1、工作原理 首先考虑两种极限情况:当v I处于逻辑0时,相应的电压近似为0V;而当v I处于逻辑1时,相应的电压近似为V DD。假设在两种情况下N沟道管T N 为工作管P沟道管T P为负载管。但就是,由于电路就是互补对称的,这种假设可以就是任意的,相反的情况亦将导致相同的结果。 下图分析了当v I=V DD时的工作情况。在TN的输出特性i D—v DS(v GSN=V DD)(注意v DSN=v O)上,叠加一条负载线,它就是负载管T P在v SGP=0V时的输出特性i D-v SD。由于v SGP<V T(V TN=|V TP|=V T),负载曲线几乎就是一条与横轴重合的水平线。两条曲线的交点即工作点。显然,这时的输出电压 v OL≈0V(典型值<10mV ,而通过两管的电流接近于零。这就就是说,电路的功耗很小(微瓦量级)

下图分析了另一种极限情况,此时对应于v I=0V。此时工作管T N在v GSN =0的情况下运用,其输出特性i D-v DS几乎与横轴重合,负载曲线就是负载管T P在v sGP=V DD时的输出特性i D-v DS。由图可知,工作点决定了V O= V OH≈V DD;通过两器件的电流接近零值。可见上述两种极限情况下的功耗都很低。 由此可知,基本CMOS反相器近似于一理想的逻辑单元,其输出电压接近于零或+V DD,而功耗几乎为零。 2、传输特性 下图为CMOS反相器的传输特性图。图中V DD=10V,V TN=|V TP|=V T= 2V。由于V DD>(V TN+|V TP|),因此,当V DD-|V TP|>vI>V TN时,T N与T P两管同时导通。考虑到电路就是互补对称的,一器件可将另一器件视为它的漏极负载。还应注意到,器件在放大区(饱与区)呈现恒流特性,两器件之一可当作高阻值的负载。因此,在过渡区域,传输特性变化比较急剧。两管在V I=V DD/2处转换状态。 3、工作速度 CMOS反相器在电容负载情况下,它的开通时间与关闭时间就是相等的,这就是因为电路具有互补对称的性质。下图表示当v I=0V时,T N截止,T P导

反相器cadence

开始 1、软件如下 2、双击进入软件,点击connect,在Password中输入sd2013,点击OK。 3、显示桌面

4、打开终端,即点击鼠标右键,选择open terminal

5、在终端内输入pwd,即可显示当前工作目录。ls 显示目录下的内容。我们可以建立VLSI 文件夹,即输入mkdir VLSI;cd VLSI即进入VLSI文件夹;输入icfb & ,再点击回车,就会出现如图所示的CIW(Command Interpreter Window),即命令解释窗。 6、建新库,在库里面我们将画出反相器电路图、振荡器电路图和版图三个cell。 ①在CIW中,点击File→New→Library...; ②在New Library对话框内输入库名,例如ring_osc;并在Technology File 中选择第一项,compile a new techfile.,然后点击Browse,在打开的FILE Browser中的File中输入/tools/cadence/cds5141/tools.lnx86/dfII/samples/techfile,点击回车,下拉辐条,找到sample2003.tf,点击OK一路返回,将提示tf文件加载成功。

反相器篇 7、建立新文件,先画反相器电路图 ①在CIW中,选File→New→Cell view...,=>“Create New File”对话框。②在Library Name,选刚建的库zdq, ③在Cell Name中输入单元名,inv,④点击Tool文本区右端的按钮,出现下拉菜单。选择Composer-Schematic,在View Name内自动生成Schematic。⑤按OK键=>“Virtuoso Schematic Editing”(电路图编辑窗)。 8、加器件 ①选命令Add→Instance...,出现“Add Instance”对话框。 ②点击Browse按钮,出现Library Browser ,在library一栏中选择analoglib,库中包含花振荡器的所有cell,如pmos4,nmos4.并在View中选择symbol,再点击HIDE,将器件添加即可。

反相器设计前仿与后仿流程

目录 前端电路设计与仿真 (2) 第一节双反相器的前端设计流程 (2) 1、画双反相器的visio原理图 (2) 2、编写.sp文件 (2) 第二节后端电路设计 (4) 一、开启linux系统 (4) 2、然后桌面右键重新打开Terminal (6) 双反相器的后端设计流程 (7) 一、schematic电路图绘制 (7) 二、版图设计 (21) 画版图一些技巧: (30) 三、后端验证和提取 (31) 第三节后端仿真 (37) 其它知识 (40)

前端电路设计与仿真 第一节双反相器的前端设计流程1、画双反相器的visio原理图 in V DD M2 M3 out 图1.1 其中双反相器的输入为in 输出为out,fa为内部节点。电源电压V DD=1.8V,MOS 管用的是TSMC的1.8V典型MOS管(在Hspice里面的名称为pch和nch,在Cadence里面的名称为pmos2v和nmos2v)。 2、编写.sp文件 新建dualinv.txt文件然后将后缀名改为dualinv.sp文件 具体实例.sp文件内容如下:

.lib 'F:\Program Files\synopsys\rf018.l' TT 是TSMC用于仿真的模型文件位置和选择的具体工艺角*****这里选择TT工艺角*********** 划红线部分的数据请参考excel文件《尺寸对应6参数》,MOS管的W不同对应的6个尺寸是不同的,但是这六个尺寸不随着L的变化而变化。 划紫色线条处的端口名称和顺序一定要一致 MOS场效应晶体管描述语句:(与后端提取pex输出的网表格式相同) MMX D G S B MNAME 2.1、在wind owXP开始--程序这里打开Hspice程序 2.2、弹出以下画面然后进行仿真 1、打开.sp 文件 2、按下仿真按钮3 形 存放.sp文件的地址 查看波形按钮按下后弹出以下对话框

反相器电路图

反相器电路图和电路符号 一、实训目的 1、熟悉Linux操作系统。 2、掌握Cadence软件的使用。 3、学会使用Cadence软件画电路原理图和电路符号。 二、实训设备 计算机、Cadence软件 三、实训步骤 1、在Linux系统下,进入Teminal命令窗口。 2、在命令窗口中输入mkdir llx回车 3、采用cd 命令进入llx文件,并在终端窗口中输入i,回车,即可启动Cadence 软件。 4、进入软件后,打开Library Manager 窗口,点击Edit ,选择Library Path… 5、进入Library Path Editor窗口,再次点击Edit ,选择Add Library… 6、在Directory 窗口下选择csmc05ms ,选择libs,在Library中选择CSMC05MS, 点击OK。 7、保存添加的库文件,关闭Library Path Editor窗口。 8、在Library Manager 窗口中点击File,选择New,再选择Library…。 9、在Name 栏填写新建库的名字(如:train),点击OK。在出现的Technolog File for New Library窗口中选择Attach to an existing techfile,点击OK。在 出现的Attach Design Library to Technology File窗口中,在Technology Library栏选择CSMC05MS,点击OK. 10、在Library Manager 窗口中,在Library栏中选中train。点击File,选择 New,再选择Cell View…。在出现的Create New File窗口中,在Cell Name 栏中输入单元名字(如:inverter),同时在Tool栏中选则Composer-Schematic,点击OK。 11、在出现的Virtuoso@Schematic Editing窗口中开始编辑原理图。 12、在该窗口下,按“i”打开添加器件窗口。 13、点击Browse按键,在弹出的Library Browser窗口中,依次用鼠标左键 单击Library中名CSMS05MS、Cell中名为nmos4、View中名为symbol, 此时所选择的器件会立即填写到添加期间窗口相应的内容中。同时可以看 到添加期间窗口中会列出该器件的一些参数,这里我们设置nmos4的长 (Length)为1um、宽(Total Width)为3um。点击Hide按钮,此时可以 看到鼠标上粘有一个nmos4器件,单击鼠标左键即可放置该器件,放置完 后按Esc键退出放置。使用同样的方法添加pmos4,将长(Length)为1um、 宽(Total Width)为6um。 14、添加电源Vdd,地gnd。这两个器件在analogLib中,Cell名为Vdd、gnd。 15、按快捷键“w”开始连线。 (1)、确定起点。鼠标左键单击窗口内任意一点,即可确定此点为线的一端。 (2)、线的移动。线会跟随鼠标移动,移动过程中线的连接方式可以随时调整。调整方式可以使用鼠标右键单击调整,连线方式会在垂直连 接、水平连接、直接连接方式之间切换;也可以直接按“F3”键进

实验二——反相器版图绘制

实验二、版图基础 一、 实验内容 1、熟悉cadence定制设计软件平台的基本界面与使用、设计文件组织方式。 2、了解工艺文件、版图设计等的大致概念,熟悉cadence软件版图设计相关的 功能。 3、绘制反相器版图 二、 Cadence定制软件平台的基本界面与使用 1、进入cadence软件 按照实验一中的说明依次启动VMWARE、Linux AS4虚拟机、然后打开一个终端窗口。 在终端中输入以下命令: icfb↙ 出现的主窗口如图1-1-1所示: 图2-2-1Candence主窗口 注意以下几个事项: (1)输入icfb↙后,终端窗口的前台由于在运行该c a d e n c e软件,不再接受新的命令。如果要在终端窗口中运行其他命令,可以重新打开一个终端,或者使用命令b g将c a d e n c e 软件转入后台运行,这时原来的终端窗口就可以重新接受命令了。 ctrl+z(同时按ctrl键和字母z键) bg ls 可以看到ls命令可以执行了。 或者可以在启动icfb软件时用icfb&↙代替icfb&↙,则可以在启动时自动进入后台状态。可以尝试打开和关闭icfb几次,实验bg,&等的效果 (2)主窗口分为信息窗口CIW、命令行以及主菜单。信息窗口会给出一些系统信息(如出错信息,程序运行情况等)。在命令行中可以输入某些命令。 2、设计文件组织结构与主窗口菜单 (1)设计文件组织结构

Cadence的设计文件组织分为设计库(library)、类(category,可以不用)、单元(cell)、视图(view)四级。并在库定义文件cds.lib中进行定义设计环境中可见的设计库。 cds.lib |---library1 | |-----cell1 | | |---view1 | | |----view2 | | |----… | | |----viewN | |-----cell2 | | |---view1 | | |----view2 | | |----… | | |----viewN |---library2 | |-----cell1 | | |---view1 | | |----view2 | | |----… | | |----viewN | |-----cell2 | | |---view1 | | |----view2 | | |----… | | |----viewN library(库)是一组设计单元的集合,存放一些单元(cell)。一个单元是由多种视图(view)组成的。单元可以是一个简单的单元,像一个与非门,也可以是比较复杂的单元(由子单元组合而成)。View是某一个单元的不同表示形式,例如常用的schematic是单元的电路图,symbol是单元的符号,layout是单元的版图等等。 操作:打开软件后,在主窗口中点选toolsàlibrary Manager, 观察设计数据的组织结构;选中某个library中一个cell的一个view,打开查看,然后关闭

CMOS反相器版图设计

成绩评定表 学生姓名班级学号7 专业课程设计题目CMOS反相器评 语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业 学生姓名班级学号 课程设计题目CMOS反相器 实践教学要求与任务: 1.用tanner软件中的S-Edit编辑CMOS反相器。 2.用tanner软件中的TSpice对CMOS反相器电路进行仿真并观察波形。 3.用tanner软件中的L-Edit绘制CMOS反相器版图,并进行DRC验证。 4.用tanner软件中的TSpice对版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对电路网表进行LVS检验观察原理图与版图的匹配程度。 工作计划与进度安排: 第一周 周一:教师布置课设任务,学生收集资料,做方案设计。 周二:熟悉软件操作方法。 周三~四:画电路图 周五:电路仿真。 第二周 周一~二:画版图。 周三:版图仿真。 周四:验证。 周五:写报告书,验收。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

目录 目录............................................................................................................................ III 1.绪论 (1) 1.1设计背景 (1) 1.2设计目标 (1) 2.CMOS反相器 (2) 2.1CMOS反相器电路结构 (2) 2.2CMOS反相器电路仿真 (3) 2.3CMOS反相器的版图绘制 (4) 2.4CMOS反相器的版图电路仿真 (4) 2.5LVS检查匹配 (5) 总结 (7) 参考文献 (8) 附录一:原理图网表 (9) 附录二:版图网表 (10)

实验一、反相器设计

实验一反相器设计 一、实验目的 1.学习tanner-pro中的s-edit,t-sipice和w-edit软件,完成inv的原理图设 计与分析 2.熟悉L-edit的使用,掌握L-edit进行集成电路版图设计的方法; 3.掌握N/P MOS晶体管的工艺步骤、“N阱设计规则”。 4.掌握反相器的调试和性能指标测试方法 5.掌握对反相器进行LVS的步骤与方法 二、预习要求 1、根据性能和指标要求,设计并计算电路的有关参数。 2、掌握s-edit编辑环境,设计inv的原理图 3、掌握t-sipice和w-edit仿真环境,完成反相器的仿真 4、掌握L-edit编辑环境,设计inv的版图 5、掌握t-sipice和w-edit仿真环境,完成版图反相器的仿真 6、掌握lvs环境变量 7、写出预习报告 三、反相器的设计方法 1、确定电路(选择PMOS管、NMOS管)。 2、选择VDD和GND。 3、加入工作电源进行分析 4、确定工艺规则。 5、绘制INV版图。 6、加入工作电源进行分析 7、LVS比较 四、实验内容 1、设计一个具有反相器功能的电路。反相器inv由一对互补的nmos和pmos管 构成,通过对输入信号翻转完成输出信号,翻转时间长短有你nmos和pmos 工艺和尺寸决定,直接反映反相器的速度。

2、对所设计的电路进行设计、调试 3、对电路的性能指标进行测试仿真,并改变设计参数(如沟道比等),对结果进 行比较分析。 画出上述晶体管对应的版图,并且要求画出的版图在电学上,物理几何上,以及功能一致性上正确,版图的设计参考样式如下:

4、版图规则/一致性检查 对所设计的版图进行DRC、ERC规则检查 对所设计的版图进行LVS一致性检查 5、后仿真与改进 对于设计的版图是否能够达到优异的性能,需要通过提取版图上的寄生参数,对含有版图寄生参数的电路进行仿真才能知道,很多时候版图上错误的走线,布图方法会导致致命的错误。 对于CMOS反相器版图设计,需要进行以下仿真:给反相器一个阶越信号的输入,观察反相器的输出信号的变化。 五、实验报告要求 实验报告包括以下内容 1、项目名称 2、已知条件和指标要求 3、所需的软件仿真语句 4、电路设计过程,所选用的电路原理图 5、调试过程,标有经调试后所采用的元件数值的电路图