逻辑笔设计

逻辑笔设计
逻辑笔设计

逻辑笔设计

(电子1203班程聪0121209330312)一、设计目的(设计要求)

设计一款逻辑笔。逻辑笔是采用不同颜色的指示灯来表示数字电平高低的仪器。

基本要求

①能测量并能显示出电路的逻辑低电平和逻辑高电平(红灯表示高电平、绿灯表示低电

平)。

②红、绿发光二极管同时闪烁,则表示有脉冲信号存在。

③输入电压范围:-0.5~+6.0V。

④输入频率范围:0Hz~200MHz。

1)扩展要求

设计三指示灯的逻辑笔,功能如下。

①绿色发光二极管亮时,表示逻辑低电平。

②红色发光二极管亮时,表示逻辑低电平。

③黄色发光二极管亮时,表示浮空或三态门的高阻抗状态。

④如果红、绿、黄三色发光二极管同时闪烁,则表示有脉冲信号存在。

⑤输入信号接口:标准0.64mm插孔,可选装防颤测试探头和各种测试夹具。

二、设计原理

本次实验采用与非门逻辑电路,用到四个二输入与非门,因此采用74ls00芯片。使用两个稳压二极管,输入信号在两个稳压二极管中间,可以得到两个相同的逻辑信号。电阻R1,R2在电路中均起到限流作用,以保证两个稳压二极管的安全,电阻R3,R4,R5在电路中起到分压作用以保证三个发光二极管正常发光。有信号输入时,G1,G3电位始终相反,一个高电位一个低电位,所以G2始终是高电位,黄灯一直不亮。输入高电平时红灯亮绿灯不亮,输入低电平时绿灯亮红灯不亮,输入脉冲信号时红灯绿灯交替闪烁。没有信号输入时G2是低电位,黄灯亮,即输入悬空或高阻态时黄灯亮。如此便实现了实验要求。

三.设计方案及电子器件

由与非逻辑门组成逻辑笔的电路,可考虑使用带有四个二输入与非门芯片74LS00,芯片脚图及内部原理图如下,另外为保护电路及LED需要一些限流电阻和稳压二极管。与LED

串联的电阻选择500欧姆左右,其余选择10K左右即可。

图1 74LS00芯片引脚原理图

四.电路仿真

图2电路仿真图

五.测试结果

当输入端接入一个低于0.4V的信号时,绿灯亮;

当输入端接入一个高于2.0V的信号时,红灯亮;

当输入端接入一个高于0.4V低于2.0V的信号时,黄灯亮。

当输入端接入一个低频方波信号时,可明显观察到三色光闪烁;

当输入端接入一个高频方波信号时,三个发光二极管同时亮起(肉眼不能分辨)六.参考文献

[1].李秉钧.实用逻辑电路.人民邮电出版社.1985

[2].梅开乡.数字逻辑电路.电子工业出版社.2003

[3].马利.逻辑电路手册.国防工业出版社.1975

模拟电路课程设计题目

电子技术(模拟电路部分)课程设计题目 一、课程设计要求 1、一个题目允许两个人选择,共同完成电子作品,但课程设计报告必须各自独立完成。 2、课程设计报告按给定的要求完成,要上交电子文档和打印文稿(A4)。 3、设计好的电子作品必须仿真,仿真通过后,经指导老师检查通过后再进行制作。 4、电子作品检查时间:2010年3月4日,检查通过作品需上交。 4、课程设计报告上交时间:2010年5月20日前。 二、课程设计题目 方向一、波形发生器设计 题目1:设计制作一个产生方波-三角波-正弦波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目2:设计制作一个产生正弦波-方波-三角波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目3:设计制作一个产生正弦波-方波-锯齿波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④锯齿波峰-峰值为2V,占空比可调;

⑤设计电路所需的直流电源可用实验室电源。 题目4:设计制作一个方波/三角波/正弦波/锯齿波函数发生器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V; ③方波幅值为2V,占空比可调; ④三角波峰-峰值为2V; ⑤锯齿波峰-峰值为2V; ⑥设计电路所需的直流电源可用实验室电源。 方向二、集成直流稳压电源设计 题目1:设计制作一串联型连续可调直流稳压正电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目2:设计制作一串联型连续可调直流稳压负电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目3:设计制作一串联型二路输出直流稳压正电源电路。 设计任务和要求 ①一路输出直流电压12V;另一路输出5-12V连续可调直流稳压电源; ②输出电流I O m=200mA; ③稳压系数Sr≤0.05;

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

逻辑笔设计

逻辑笔设计 (电子1203班程聪0121209330312)一、设计目的(设计要求) 设计一款逻辑笔。逻辑笔是采用不同颜色的指示灯来表示数字电平高低的仪器。 基本要求 ①能测量并能显示出电路的逻辑低电平和逻辑高电平(红灯表示高电平、绿灯表示低电 平)。 ②红、绿发光二极管同时闪烁,则表示有脉冲信号存在。 ③输入电压范围:-0.5~+6.0V。 ④输入频率范围:0Hz~200MHz。 1)扩展要求 设计三指示灯的逻辑笔,功能如下。 ①绿色发光二极管亮时,表示逻辑低电平。 ②红色发光二极管亮时,表示逻辑低电平。 ③黄色发光二极管亮时,表示浮空或三态门的高阻抗状态。 ④如果红、绿、黄三色发光二极管同时闪烁,则表示有脉冲信号存在。 ⑤输入信号接口:标准0.64mm插孔,可选装防颤测试探头和各种测试夹具。 二、设计原理 本次实验采用与非门逻辑电路,用到四个二输入与非门,因此采用74ls00芯片。使用两个稳压二极管,输入信号在两个稳压二极管中间,可以得到两个相同的逻辑信号。电阻R1,R2在电路中均起到限流作用,以保证两个稳压二极管的安全,电阻R3,R4,R5在电路中起到分压作用以保证三个发光二极管正常发光。有信号输入时,G1,G3电位始终相反,一个高电位一个低电位,所以G2始终是高电位,黄灯一直不亮。输入高电平时红灯亮绿灯不亮,输入低电平时绿灯亮红灯不亮,输入脉冲信号时红灯绿灯交替闪烁。没有信号输入时G2是低电位,黄灯亮,即输入悬空或高阻态时黄灯亮。如此便实现了实验要求。 三.设计方案及电子器件 由与非逻辑门组成逻辑笔的电路,可考虑使用带有四个二输入与非门芯片74LS00,芯片脚图及内部原理图如下,另外为保护电路及LED需要一些限流电阻和稳压二极管。与LED

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

逻辑设计心得

序 很早之前就想对这几个月工作经历写的东西,一是作为自己的总结,二是自己也很 想将自己这段时间的一些经历和大家分享一下,希望对初学者而言能使得他们能少走一 些弯路。只是公司里的事情很多,最近经常加班,所以一直拖到现在。 能来到这家公司应该是一种缘份--缘起NIOS。当初三月份altera来我们学校建立SO PC实验室的时候自己还不知道NIOS是什么东西,只是想在altera的FAE讲完NIOS后多问他几个时序约束的问题,然后拷一份PPT回去。但是想不到因为那一份NIOS的培训资料,我 认识了edacn上的cawan,他给我讲了很多NIOS的东西,之后是丁哥在SOC版帖了位NIOS大赛的通知,然后我和队友就去报了名,并去川大参加了NIOS的培训,认识了峻龙的FAE- ---也是我现在的boss。在这里要谢谢cawan、丁哥、和我一起参加NIOS竞赛的队友刘科 以及我的BOSS,是他们让我有了这一段的经历。 在公司里的几个月,做的项目其实不多,但是收获还是有一些,我觉得收获最大的是 设计理念的改变,这也是我这段时间最想总结的,我会在后面逐渐阐述。 时序是设计出来的 我的boss有在华为及峻龙工作的背景,自然就给我们讲了一些华为及altera做逻辑 的一些东西,而我们的项目规范,也基本上是按华为的那一套去做。在工作这几个月中 ,给我感触最深的是华为的那句话:时序是设计出来的,不是仿出来的,更不是湊出来 的。 在我们公司,每一个项目都有很严格的评审,只有评审通过了,才能做下一步的工 作。以做逻辑为例,并不是一上来就开始写代码,而是要先写总体设计方案和逻辑详细 设计方案,要等这些方案评审通过,认为可行了,才能进行编码,一般来说这部分工作 所占的时间要远大于编码的时间。 总体方案主要是涉及模块划分,一级模块和二级模块的接口信号和时序(我们要求 把接口信号的时序波形描述出来)以及将来如何测试设计。在这一级方案中,要保证在 今后的设计中时序要收敛到一级模块(最后是在二级模块中)。什么意思呢?我们在做 详细设计的时候,对于一些信号的时序肯定会做一些调整的,但是这种时序的调整最多 只能波及到本一级模块,而不能影响到整个设计。记得以前在学校做设计的时候,由于 不懂得设计时序,经常因为有一处信号的时序不满足,结果不得不将其它模块信号的时 序也改一下,搞得人很郁闷。 在逻辑详细设计方案这一级的时候,我们已经将各级模块的接口时序都设计出来了 ,各级模块内部是怎么实现的也基本上确定下来了。 由于做到这一点,在编码的时候自然就很快了,最重要的是这样做后可以让设计会 一直处于可控的状态,不会因为某一处的错误引起整个设计从头进行。 做逻辑的难点在于系统结构设计和仿真验证 刚去公司的时候BOSS就和我讲,做逻辑的难点不在于RTL级代码的设计,而在于系统 结构设计和仿真验证方面。目前国内对可综合的设计强调的比较多,而对系统结构设计

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

逻辑笔

四川信息职业技术学院 《数字电子技术》 项目设计说明书 设计题目: 逻辑笔 专业: 应用电子技术 班级: 电创13-1 组别: 姓名: 学号: 指导教师: 2014 年 9 月 14 日

数字电子技术项目设计任务单

目录 任务单 (1) 摘要 (3) 第一章方案设计 (4) 第二章电路设计及工作原理分析 (5) 2.1电平比较电路 (5) 2.2电平处理电路 (6) 2.3LED显示电路 (6) 2.4电路原理分析 (7) 第三章电路仿真 (7) 3.1逻辑笔接高电平 (8) 3.2逻辑笔接低电平 (9) 3.3逻辑笔悬空 (10) 第四章电路搭建与调试 (11) 4.1逻辑笔电路上电正常状态图 (11) 4.2 逻辑笔输入高电平状态图 (12) 4.3 逻辑笔输入低电平状态图 (13) 附录1 电路原理图 (14) 附录2 元器件明细表 (15) 附录3 集成芯片资料 (16) 项目考核标准及评价表 (18)

摘要 逻辑测试笔,是一种新颖的测试工具,它能代替示波器,万用表等测试工具,通过转换开关,对TTL、CMOS、DTL等数字集成电路构成的各种电子仪器设备(电子计算机、程序控制、数字控制、群控装置)进行检测、调试与维修使用。 它具有重量轻、体积小、使用灵活,清晰直观,判别迅速正确,携带方便及TTL与CMOS兼容使用等优点。 关键词:TTL、CMOS、DTL、逻辑笔

第一章方案设计 对于本次的逻辑笔项目设计方案我们组设计了几个方案,通过对逻辑笔的了解和讨论我们这组确定了最终设计方案。以下是我们的设计方案: 我们的设计思路如下 1:了解逻辑笔的功能 2:根据功能以及我们的需要上网查阅资料。 3:整理资料从资料中分析工作原理。 4:考虑方案的可行性,再根据方案进行电路连接。

MOS管及简单CMOS逻辑门电路原理图

MOS管及简单CMOS逻辑门电路原理图 现代单片机主要是采用CMOS工艺制成的。 1、MOS管 MOS管又分为两种类型:N型和P型。如下图所示: 以N型管为例,2端为控制端,称为“栅极”;3端通常接地,称为“源极”;源极电压记作Vss,1端接正电压,称为“漏极”,漏极电压记作VDD。要使1端与3端导通,栅极2上要加高电平。 对P型管,栅极、源极、漏极分别为5端、4端、6端。要使4 端与6端导通,栅极5要加低电平。 在CMOS工艺制成的逻辑器件或单片机中,N型管与P型管往往是成对出现的。同时出现的这两个CMOS管,任何时候,只要一只导通,另一只则不导通(即“截止”或“关断”),所以称为“互补型CMOS管”。 2、CMOS逻辑电平 高速CMOS电路的电源电压VDD通常为+5V;Vss接地,是0V。 高电平视为逻辑“1”,电平值的范围为:VDD的65%~VDD(或者~VDD)

低电平视作逻辑“0”,要求不超过VDD的35%或0~。 +~+应看作不确定电平。在硬件设计中要避免出现不确定电平。 近年来,随着亚微米技术的发展,单片机的电源呈下降趋势。低电源电压有助于降低功耗。VDD为的CMOS器件已大量使用。在便携式应用中,VDD为,甚至的单片机也已经出现。将来电源电压还会继续下降,降到,但低于VDD的35%的电平视为逻辑“0”,高于VDD的65%的电平视为逻辑“1”的规律仍然是适用的。 3、非门 非门(反向器)是最简单的门电路,由一对CMOS管组成。其工作原理如下:A端为高电平时,P型管截止,N型管导通,输出端C的电平与Vss保持一致,输出低电平;A端为低电平时,P型管导通,N型管截止,输出端C的电平与V一致,输出高电平。 4、与非门

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

课程设计

郑州轻工业学院 电子技术课程设计 题目:简易三态逻辑测试笔 ____________________ 学生姓名吴艳丽 专业班级:电子信息工程11-2班 学号:541101030239 院(系):电气信息工程学院 指导教师:吴艳敏 完成时间: 2013年06月28日

郑州轻工业学院 课程设计(论文)任务书 题目简易三态逻辑测试笔 专业电信工程11-2学号 541101030239 姓名吴艳丽 主要内容、基本要求、主要参考资料等: 主要内容 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1. 要求电路能够检测出高电平、低电平和高阻三种状态。 2. 要求电路能够在一定的范围内对高电平和低电平的状态进行调整,高电平设定范围为 2V

2013年6月21日 简易三态逻辑测试笔 摘要 在日常工作,仪器的故障维修中,平时都是通过用万能表测量,借此了解电路的工作状况和发生故障的地方,但是这个方法在电路的管脚多的时候不方便,并且用万能表测量不出来高阻状态。所以,本文就对此缺点进行了改进,设计了一种简易三态逻辑测试笔,能完成对各种电路状态的测量,专门用于测定逻辑电路的输出状态。更加方便仪器故障的诊断和维修。同时对三态逻辑测试笔的设计和制作进行了论述。 关键词仪器维修输出三态三态逻辑测试笔

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

逻辑原理设计

基于单片机在非接触电梯按纽中智能识别逻辑设计应用 任相根 目前,电梯的使用必须用手指直接按压按纽开关,在公共场所,如医院、地铁、写字楼等人员密集地,频繁使用电梯按纽十分不卫生,容易传染病毒,近期新冠病毒、流感等传染性病毒肆虐之时,我们将原电梯按纽,改进成实用新型电梯非接触按纽系统,具有创新意义。非接触按纽包括电梯的“选层”按纽、“楼道外呼”按纽、“开关”门按纽,这些电梯按纽在使用中容易受到不正确操作、人员拥挤误触碰产生错误操纵,为解决这个问题,我们探索应用单片机达到防误触碰智能识别功能。下面论述运用单片机在非接触电梯按纽智能操作中逻辑设计思路。 (一)非接触按纽防误触碰智能识别技术功能 1)非接触按纽正确操作标准;当手正确操作按纽时,只要手指一点(时间2s之内),指头离按纽面18mm——20mm即可。 2)当操作按纽不正确时,防误触碰智系统识别为不正确操作,此时,系统不向电梯控制装置发送选层信号(即操作无效)。如较长时间不正确操作按纽开关,系统马上警示音提示;请勿不正确操作,手指离开后,系统识别为不正常操作,选层信号不输出,示为无操作,恢复原状。 3)当人员无意将身体贴近电梯按纽面板,误触碰按纽开关后,系统即刻发出“滴、滴、滴”提示音,告示人们勿贴近按纽面板,人员离开,而后按纽恢复原状(不留误触碰痕迹)。

4)当手指操作按纽时其他手指误触碰面板,智能识别其为“误触”,智能系统判定其不正常操作不送选层信号输出。保证正常手指操作输出。 (二)防误触碰智能识别逻辑思路设计。 我们将非接触按纽产生的操作信号以脉宽信号形式输送给单片机emp78p153识别,构成防误触碰控制电路。设计逻辑思路;根据人们正常操作按纽的习惯,在时间上进行细化、量化,我们将非接触按纽操作按下产生的脉冲时间分为以下几段; 1)设计在2s 之内为一段的正常操作时段。当正常操作产生脉冲在2s 之内,设计单片机检测到的最小为1/4秒(小于1/4秒不识别)最大2s 脉宽为正常按纽操作,单片机emp78p153控制输出为“+”脉冲,允许按纽产生的操作信号脉宽输出,此为正常操作按纽。 2)设计大于2s (不含2s)到12s(不含12s)时段脉宽为不正常操作时段。当人员贴近按纽面板一般按纽脉冲大于2s(不含2s)到12s (不含12s)时,单片机emp78p153识别并控制输出端;一路输出“-”不允许按纽产生的操作脉冲输出(不正常操作);另一路输出“+”脉冲使报警“滴”“滴”“滴”声响,提醒人们勿贴近按纽面板。 3)设计同时按下按纽操纵逻辑识别。当手指操作按纽时其他手指误触碰其他按纽面板,单片机emp78p153根据几个按纽操作产生脉宽时速前后自动选择最前脉宽为正常操作,其他脉宽为不正常操作,不输出操作,为无效操作,防止了误操作。 通过检测非接触按纽操作输出的脉冲宽度,从时段、量化鉴测其

plc三层电梯控制设计样本

1. 三层电梯PLC控制系统设计 1.1实训目 本次设计是一种电梯PLC控制系统。电梯是垂直方向运送设备,是高层建筑中不可缺少交通运送设备。它靠电力,拖动一种可以载人或物轿厢,在建筑井道导轨上做垂直升降运动,在人们生活中起着举足轻重作用。而控制电梯运营PLC 系统也规定越来越高,规定达到电梯运营“稳、准、快”运营目。该系统重要由PLC、逻辑控制电路构成。其中涉及交流异步电动机、继电器、接触器、行程开关、按钮、发光批示器和变频器构成为一体控制系统。 整个系统通过PLC、逻辑控制电路对电梯升降;加、减速;平层;起动、制动控制。其构造简朴、运营效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制规定 工作过程:电梯由安装在各楼层厅门口呼喊按钮进行操纵,其操纵容为呼喊电梯、运营方向和停靠楼层。每层楼设有呼喊按钮(一层U1,二层U2,D2,三层D3),批示灯L1批示电梯在一层与二层之间运营、L2批示在二层与三层之间运营、L3批示在三层与二层之间运营、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼喊,下降途中只响应下降呼喊,任何反方向呼喊均无效。输出端用输出批示灯状态来模仿输出设备状态。 三层楼电梯自动控制规定如下: (1)当电梯停于1F或2F时,如果按3F按钮呼喊,则电梯上升到3F,由行程开关SQ3停止;

(2)当电梯停于3F或2F时,如果按1F按钮呼喊,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼喊,则电梯上升到2F,由行程开关LS2停止; (4)当电梯停于3F,如果按2F按钮呼喊,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼喊时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼喊时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向下降按钮呼喊均无效; (8)在电梯下降途中,任何反方向上升按钮呼喊均无效; (9)每层楼之间到达时间应在10s完毕,否则电梯停机; (10)电梯起始位置和程序启动、停止运营自行设计。 1.3电梯构造

模电课程设计报告题目范例

以下课程设计题目仅供参考,不供选择,请同学们按照感兴趣的方向自己拟定题目及要求,不得与以下题目完全相同。 一、音频功率放大器 1、指标要求: 设计并制作一OCL音频功率放大器并设计制作与之匹配的直流稳压电源。指标:PoM≥5W,fL≤50Hz,fH≥15KHz,中点电位≤100mV。负载:8Ω。以上指标“=”者为及格。输入电压50mV。 2、约束:不能采用音频功放集成电路(扬声器可用8.2Ω电阻代替) 二、串联型直流稳压电源的设计 在输入电压220V 50HZ电压变化X围±10%条件下: ①输出电压可调X围:+9 ~ +12V; ②最大输出电流:300mA; ③测出设计电路的输出电阻(输入电压变化X围±10%下,满载)。 ④测出设计电路的稳压系数( 最低输入电压下,满载),并将稳压系数减到最小。 ⑤学习Mutisim的电路仿真过程,绘制电路图,进行基本的仿真实验对设计的电路进行性能分析 三、温度测量电路 (1) 温度测量X围:-40oC~+125oC.(2) 灵敏度:1mV/ oC(3) 测量精度:±1oC(4) 工作电压:±5V(5) 测量某处的温度值并转换为0~5V的电压

四、双工对讲机的设计与制作 采用集成运放和集成功放及阻容元件构成对讲电路,实现甲乙双方异地有线通话对讲;用扬声器兼作话筒和喇叭,双向对讲,互不影响;电源电压+5V,功率〈=0.5W,工作可靠,效果良好! 五、声光控制灯感应系统 输入:光强信号、声音信号 输出:开关信号 逻辑:在满足光强(不足)条件下,输入声音信号时,输出“开”信号并延时,自动关断;光强足够时,封锁输出或封锁声音检测电路 要点:光强信号检测要考虑排除脉冲信号干扰,如雷电、爆竹、拍照等闪光,可以通过对光强检测信号的简单滤波达到目的,滤波时间常数为秒级即可 构成:光强检测可以用光电三极管、光电二极管或光敏电阻,电阻成本最低 声音检测用驻极体拾音器,最好设音频选择元件,LC滤波 信号放大、处理,可以用集成运放或比较器,简单的用555电路 驱动可以是三极管驱动小型直流继电器 工作电源,用小型电源变压器+整流+滤波+三段稳压器 六、扩音机电路设计 1、最大输出公路为8W; 2、负载阻抗RL=8欧姆;

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

逻辑设计

完成后,以“实验一+学号+姓名”作为文档的标题保存实验报告,并将实验。邮件的标题为“实验一+学号+姓名”。(学号为完整学号) 实验三、数据库的逻辑设计 实验目标 逻辑设计就是把E-R图转换成关系模式,并对其进行优化。 实验结果 经过转化和优化得到的数据库以及表的结构 实验步骤 1.ER图到关系模式的转换 在概念设计阶段得到的数据模型,是独立于具体DBMS产品的信息模型。在逻辑设计阶段就是将这种模型进一步转化为某一种(某些类)DBMS产品支持的数据模型。目前大部分的流行的数据库管理系统(SQL Server、Sybase 、Oracle、DB2等)基本上都是基于关系的数据模型,因此,应将概念设计阶段的E-R图模型转化为关系数据模型。 如,任课教师与课程之间的是多对多的联系类型,因此,可以将任课教师、课程以及讲授联系分别设计成如下的关系模式: ●教师(教师编号,教师姓名,性别,职称,电话,系编号) ●课程(课程编号,课程名称,课程学分,课时) ●讲授(教师编号,课程编号,课程编号,开课年度,开课学期) 而,院系实体和班级之间是一对多的联系类型,所以只要两个关系模式就可表示,其中联系可以放到班级的实体中: ●系(系编号、系名称、系主任) ●班级(班级编号,班级名称,系编号) 班级实体和学生实体之间是一对多的联系类型,所以也可以只使用两个关系模式来表示。由于“班级”关系模式在上面已经给出,因此,只要再给出一个学生的关系模式,它们间的联系则被放在该关系模式中: ●学生(学号,姓名,性别,出生年月,电话,班级编号) 学生实体与讲授是聚集方式的联系类型,它们之间的关系是多对多的关系,可以使用如下关系模式来表示: ●学生选课(课程编号,学号,教师编号,开课年度,开课学期,成绩) 1.1根据这样的思路,实验二概念设计中得到E-R图转化得到的关系模型为: 1 学生和图书之间是多对多的联系类型,他们的关系模型如下所示: 学生(学生ID、姓名、班级编号、性别) 图书(图书编号、作者、书名、出版社) 借阅(学生ID、图书编号、借阅日期、归还日期)

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班 学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。 通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下 1同步十进制计数器74LS162 3输入正与非门74LS00

浅谈设计中的逻辑

根据古腾堡图表的阅读逻辑来看,这一系列图片首先从左上角看到的是该品牌的logo,再由阅读轨迹从对角线视觉中的词组到右下角的产品,画面需要强调的元素都在阅读逻辑的规律下展现。其余的视觉盲点则是辅助性元素。 2.直线式逻辑 对于直线式逻辑,我的理解是自上而下如同权力分配等级制度。同产业链一样,一环扣一环,每个环节都是紧密相连前后有序,严谨合理。例如一个产品的页面详情如下:

直线式逻辑思路明确,针对性强,容易看懂,我们引导用户顺着这个逻辑看设计也不会思路混淆。 3.金字塔式逻辑 金字塔式逻辑,结构中的各种分类以仅有的几种逻辑方式互相联系(向上、向下和横向),构成相互联系紧密且通畅的逻辑思路。金字塔逻辑运用广泛,例如网站架构 4.曲线式逻辑- 奢侈品为什么可以常年价格居高不下却有大批忠实购买者,玩的就是曲线式消费。一边原料至上价格不菲,一边品牌炫耀满足人们的虚荣心,两者膨胀日益上升形成我们的微笑式价格欺贫战略。越贵越有人买!

奢侈品在富有国家的价格远低于贫穷国家的价格,背行“价格规律”大获成功。这种垄断式捆绑销售使曲线式消费在一种不合乎逻辑但合乎市场特殊规律的情况下成为商业奇异战略。 在此我大胆假设曲线式消费也能转化成设计中的曲线式逻辑–设计或产品独一无二的领先性与用户非理性思维的消费模式。领先意味着垄断。非理性消费很大程度体现消费者有强烈的欲望,两者趋于上升,由最初的设计逻辑转化成销量或者点击率是相当可观的。苹果产品在中国的价格为什么比在美国贵,却照样能另国人疯狂通宵排队购买,个人觉得也是有这因素在里面。 5.网格 网格是用竖直或水平分割线将布局进行分块,把边界,空白和栏包括在内,以提供组织内容的框架的辅助性工具。设计中不能忽视的网格,从印刷物料到网页页面,还是最新的Windows8系统界面,无论我们的设计如何,网格在设计中必然存在。网格可以使页面布局显得紧凑而且稳定,为设计师在设计站点时提供一个逻辑严谨的模板。网格也可以使版式设计有连贯性和韵律,让那些看上去相当小并且毫无联系的元素也可以在网格的布局里占有自己的一席之地。 但是网格不只是让设计师一味地按照规律来枯燥的设计,只是在一个合理的运用下构造和设计出好的作品来,适时地打破规则也能有意外的惊喜。

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

逻辑笔课程设计

课程设计报告 设计题目:(CD4011)用门电路组成的文字显示显示型 逻辑笔电路 班级: 08 机械 学号: 08331068 姓名:张磊 设计时间: 2010年10月

目录 第一章概述 (3) 第2章课程设计及要求 (4) 2.1 设计任务 (4) 2.2 设计要求 (4) 第3章系统设计 (5) 3.1 设计结构 (5) 3.2 结构框图及说明 (5) 3.3 单元电路工作原理 (6) 3.4 逻辑笔工作原理 (12) 第4章安装与调试 (13) 4.1 安装调试 (13) 4.2 故障分析 (15) 第5章结论 (16) 第6章使用元件清单 (16) 收获、体会和建议 (1)

第1章概述 数字电路具有精度高、稳定性好、抗干扰能力强、程序软件控制等一系列优点。随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。为了充分发挥数字电路在信号处理上的强大功能,我们可以先将模拟信号按比例转换成数字信号,然后送到数字电路进行处理,最后再将处理结果根据需要转换为相应的模拟信号输出。自20世纪70年代开始,这种用数字电路处理模拟信号的所谓“数字化”浪潮已经席卷了电子技术几乎所有的应用领域,如数字滤波器等。 很有幸我们学习了数字电子技术这门学科,并且是我们的重点课程,在上课和实验的过程中,渐渐的我喜欢上了它。每一节课我都认真学习,每次实验我都认真的去完成。这次的课程设计我选择了(CD4011)用门电路组成的文字显示显示型逻辑笔这个题目,现在我自己来做这实验时,很多东西需要去查资料,翻书才能弄清楚,并且要弄懂它的原理。感觉把知识运用出来是很重要的。

相关文档
最新文档