按键消抖电路瞬态分析和设计

按键消抖电路瞬态分析和设计
按键消抖电路瞬态分析和设计

按键消抖电路瞬态分析和设计

按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行软件消抖和硬件消抖。软件消抖具有使用硬件数量少的优点,但也具有以下两个缺点:(1)在仪器键盘电路中,多个按键安装在仪器面板上,键盘的输出通过排线连接到主控板上,此时键盘导线寄生电感和寄生电容的存在,寄生电感寄生电容和排线电阻将组成二阶振荡系统,二阶振荡将形成负电平脉冲,而负电平脉冲很容易超出数字芯片的输入最大允许电平范围,导致数字芯片容易损坏。(2)按键闭合和断开时,电压信号下降沿非常陡峭,剧烈变化的电压信号将通过互容传递到相邻导线上。硬件消抖电路的设计主要是要考虑以下三个因素:(1)消除信号的抖动,确保按键电路输出信号的平整;(2)消除信号的下冲,因为下冲电平超出了后续数字芯片的最大输入电平范围;(3)降低信号变化的速度,避免在邻线上引起容性串扰;(4)不影响按键电路的正常功能。常见的硬件消抖电路包括电容滤波消抖和触发器消抖。电容滤波消抖采用电阻和电容组成低通滤波器,具有电路结构简单可靠的优点,因此本文将重点阐述该消抖电路。1 按键消抖电路结构与电路模型图1为某仪器按键电路原理图,按键安装在仪器面板上,通过导线连接到主控板上,按键的一端接上拉电阻并连接后续电路,按键的另一端接地,当按键没有按下时,按键输出高电平,当按键按下时,按键输出低电平。图2为加上滤波电容后的按键电路。

图1 某仪器按键电路

图2 按键消抖电路

图3为按键消抖电路的电路模型。图中R0为连接按键导线的电阻,L 为导线电感,C0为导线对地电容,C f为滤波电容,C p为按键后续电路的输入电容,R i为按键后续电路的输入阻抗,R 为上拉电阻,V CC为电源电压,U为按键消抖电路的输出电压。

图3 按键消抖电路的电路模型

当按键闭合时,其等效电路模型如图4所示。当按键断开时,其等效电路模型如图5所示。2 按键消抖电路数学模型设某一时刻按键合上,在此之前按键断开,整个电路处于稳态,即各个电容和电感上没有电流流动。此时输出电压U =u0 =V CC ×R (R +R i) 。则根据图4整个电路可列出以下微分方程:

图4 按键闭合时等效电路模型

图5 按键断开时等效电路模型

式中:i0为L 所在支路的电流;C 为C0,C f和C p的等效电容,C 为三者之和。对式(1)、式(2)进行拉普拉斯变换后可得:

将上式运用留数定理分解可得:

设某一时刻按键断开,在此之前按键闭合,整个电路处于稳态,即各个电容和电感上没有电流流动。此时输出电压U =u0 =V CC × R i R0 (RR i +R0 R i +RR0) 。根据图5可列出以下微分方程:

3 按键电路瞬态分析对式(5)进行拉普拉斯反变换便可得到按键断开电路处于稳态时按键闭合的输出电压u(t)的时域响应。

根据拉普拉斯变换的初值定理和终值定理,可得到:

对式(6)进行拉普拉斯反变换便可得到按键闭合电路处于稳态时按键断开的输出电压u(t)的时域响应。对式(6)进行拉普拉斯反变换便可得到按键闭合电路处于稳态时按键断开的输出电压u(t)的时域响应。

式(6)的时域响应为电容充电的时域响应,其响应过程为单调上升,其上升时间为2.2T,充电时间常数T等于R e C,R e为R i和R 的并联。T 越大上升时间越大,上升时间过大将影响按键的正常使用。按键按下一次的持续时间约为0.01~0.1 s,因此消抖电路将上升时间调整到500 μs 以内比较适合。现测得已连接到单片机输入引脚的按键电路其导线电阻R0为1.6 Ω,导线电感L 为25 nH,导线对地电容C i+C p为9.6 pF,在电路中使用的上拉电阻R 为10 kΩ,单片机输入引脚输入阻抗R e为2 MΩ,使用电源电压V CC为3.3 V,则不加滤波电容时,按键闭合和断开的瞬态响应分别如图6 和图7 所示,由图6 可见下冲峰值接近2 V,而使用单片机引脚的最大输入电压范围为-0.3 V~(V CC+0.3 V),该下冲电压远远超出该电平范围。

图6 实测按键闭合瞬间的时域响应

4 按键消抖电路设计按键消抖电路的设计主要是利用电容的平滑功能,将毛刺平滑掉,滤

波电容越大,信号越平滑。但是电容的增大会导致上升时间过大,电容太小则无法消除毛刺。现针对一单片机按键电路为例进行阐述。图8为该电路未进行硬件消抖时使用衰减探头在示波器上观察到的毛刺。

图7 实测按键断开瞬间时域响应

图8 按键电路毛刺

现测得该单片机按键电路寄生电感L 为30 nH,寄生电容C0+C p为35 pF,导线直流电阻R0为0.2 Ω。查阅单片机数据手册,计算得到其输入引脚输入阻抗为2 MΩ,上拉电阻R 为10 kΩ。根据式(6)和式(7)可计算出未加滤波电容的按键电路在按键闭合时其二阶系统的ξ为0.004 887,振荡频率为155.319 MHz。图6为在示波器上观察到的按键闭合瞬间的时域响应。由图可见最大的下冲幅度达到了-1.66 V,该电平远超出单片机的电压范围。根据式(9)可计算得到按键断开时充电时间常数为348.258 7 ns,信号上升时间为766.17 ns。图7为在示波器上观察到的按键断开瞬间时域响应。为消除按键抖动,滤波电容越大越好,但电容增大将增大信号的上升时间。为不影响按键电路的正常功能,需将上升时间控制。在0.5 ms以内。为此可得到当上拉电阻为10 kΩ时滤波电容的最大值为22.85 nF,上拉电阻为1 kΩ时滤波电容的最大值为227.38 nF。当滤波电容为227.38 nF时,ξ值为0.275 9,ξ值小于1,当按键闭合时依然会有衰减振荡,此时的衰减振荡频率为1.849 8 MHz,下冲峰值约为-1.34 V,下冲持续时间约为271 ns,R=1 kΩ,C f=227.38 nF。由于下冲持续时间较长,危害性也将增大。图9为R=1 kΩ,滤波电容为227.38 nF时的按键闭合瞬间时域响应波形。图10为R=1 kΩ,滤波电容为220 nF时在示波器上观察到的按键闭合瞬间时域响应波形。

图9 按键闭合瞬间仿真波形

图10 按键闭合瞬间实测波形

因此,单靠增加电容来进行滤波的方法是行不通的,由式(7)可知,增大R0可以显著增加ξ,为此可以在按键导线上串接电阻。在最终的按键消抖电路设计中,串接电阻选为100 Ω,滤波电容为8.2 nF。此时ξ 为26.117 7,彻底消除了振荡,此时的充电时间常数T 为81.94 μs,上升时间为180.268 μs。图11为整个按键消抖电路的按键闭合和按键断开瞬间的时域响应波形仿真。图12为整个按键消抖电路的按键闭合和按键断开瞬间的实测时域响应波形。图13为一次按键按下实测完整波形。图14为最终的按键消抖电路。由图12可见,按键闭合时没有过冲,按键断开时上升时间小于0.5 ms。由图13可见,在按键按下和松开之间的过程中,抖动已被消除,而且完全不影响按键电路的正常功能。

图11 消抖电路按键闭合和断开瞬间的时域响应波形仿真

图12 消抖电路按键闭合和断开瞬间实测时域响应波形

图13 一次按键按下实测完整波形

5 结语本文分析了软件消抖电路中存在的不足,指出了软件消抖存在输出信号下冲电平超出后续数字芯片输入电平范围容易危害数字芯片,提出了按键闭合时信号下降速度过快易引起容性串扰。针对软件消抖电路的不足,本文分析了硬件消抖电路,建立了数学模型,仿真并实测了按键消抖电路的时域响应。针对硬件消抖电路中仅使用滤波电容消除按键抖动的方法,通过仿真和实测阐述了该方法反而会导致下冲持续时间更长,对后续电路危害性大。

图14 按键消抖电路图

针对该问题,本文分析计算了在按键导线中串接电阻以消除下冲,仿真并实测了整个硬件消抖电路的瞬时响应,实测了硬件消抖电路按键按下和释放整个过程的时域波形,消除了按键抖动和下冲。

第三章 电路的暂态分析1

第三章 电路的暂态分析 一、填空题: 1. 一阶RC 动态电路的时间常数τ=___RC____,一阶RL 动态电路的时间常数τ=__L/R______。 2. 一阶RL 电路的时间常数越__大/小 _ (选择大或小),则电路的暂态过程进行的越快 慢/快 (选择快或慢)。。 3. 在电路的暂态过程中,电路的时间常数τ愈大,则电压和电流的增长或衰减就 慢 。 4. 根据换路定律,(0)(0)c c u u +-=,()+0L i =()0L i — 5. 产生暂态过程的的两个条件为 电路要有储能元件 和 电路要换路 。 6. 换路前若储能元件未储能,则换路瞬间电感元件可看为 开路 ,电容元件可看为 短路 ;若储能元件已储能,则换路瞬间电感元件可用 恒流源 代替,电容元件可用 恒压源 代替。 7. 电容元件的电压与电流在关联参考方向下,其二者的关系式为1 u idt C = ?;电感元件的电压与电流在关联参考方向下,其二者的关系式为di u L dt =。 8. 微分电路把矩形脉冲变换为 尖脉冲 ,积分电路把矩形脉冲变换为 锯齿波 。 9.下图所示电路中,设电容的初始电压(0)10C u V -=-,试求开关由位置1打到位置2后电容电压上升到90 V 所需要的时间为 4.8*10-3 秒。 F μ100 10. 下图所示电路中,V U u C 40)0(0_==,开关S 闭合后需 0.693**10-3

秒时间C u 才能增长到80V ? + U C - 11. 下图所示电路在换路前处于稳定状态,在0t =时将开关断开,此时电路的时间常数τ为 (R 1 +R 2 )C 。 U 12. 下图所示电路开关S 闭合前电路已处于稳态,试问闭合开关的瞬间, )0(+L U 为 100V 。 1A i L 13. 下图所示电路开关S 闭合已久,t=0时将开关断开,则i L (0-)= 4A ,u C (0+)= 16V ,i C (0+)= 0 。 u c 14.下图所示电路,当t=0时将开关闭合,则该电路的时间常数为 0.05S 。

比赛计分器课程设计

湖南工程学院 课程设计 课程名称微机原理与应用 课题名称比赛计分器设计 专业 班级 学号 姓名 指导教师 2011 年 5 月30 日

湖南工程学院 课程设计任务书 课程名称微机原理与应用 课题比赛计分器设计 专业班级 学生姓名 学号 指导老师 审批 任务书下达日期2011 年 5 月30 日任务完成日期2011 年 6 月9 日

设计内容与设计要求 设计内容: 设计一个甲,乙两队用的比赛计分器,要求实现以下功能: 1、给甲,乙两队分别设置1个加分按钮,按一下能分别实现加一分。 2、给甲,乙两队分别设置1个减分按钮,按一下能分别实现减一分。 3、设置一个清零按钮,按一下能实现清零 4、设置预置分按钮。 5、设置一个加减一分、两分和三分的切换按钮。 设计要求: 1、设计方案要合理、正确; 2、系统硬件设计及焊接制作; 3、系统软件设计及调试; 4、系统联调; 5、写出设计报告。

主要设计条件 1、MCS-51单片机实验操作台1台; 2、PC机及单片机调试软件; 3、单片机应用系统板1套; 4、制作工具1套; 5、系统设计所需的元器件。 说明书格式 1.封面 2.课程设计任务书 3.目录 4.系统总体方案设计 5.系统硬件设计 6.软件设计(包括流程图) 7.系统的安装调试说明 8、总结 9、参考文献 10、附录(源程序清单及硬件原理图等); 11、课程设计成绩评分表。

进度安排 设计时间为两周 第一周 星期一、上午:布置课题任务,讲课及课题介绍 下午:借阅有关资料,总体方案讨论 星期二、确定总体设计方案 星期三、硬件模块方案设计 星期四、软件模块方案设计 星期五、设计及调试 第二周 星期一、设计及调试 星期二、设计及调试 星期三、总调 星期四、写说明书 星期五、上午:写说明书,整理资料 下午:交设计资料,答辩 参考文献 [1]、《微型计算机原理及应用》许立梓编机械工业出版社 2002 [2]、《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000 [3]、《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000 [4]、《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版社 2000 [5]、《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001 [6]、《微型计算机接口技术》邓亚平编清华大学出版社 2001 [7]、《单片机原理及及应用》王迎旭编机械工业出版社 2001 [8]、《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002 [9]、《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

放大电路的瞬态分析与稳态分析

放大电路的瞬态分析与稳态分析 对放大电路的研究,目前有稳态分析法和瞬态分析法两种不同的分析方法。 稳态分析法:也就是已讨论过的频率响应分析法。该方法以正弦波为放大电路的基本信号,研究放大电路对不同频率信号的幅值和相位的响应(或叫做放大电路的频域响应)。其优点是分析简单,便于测试;缺点是不能直观地确定放大电路的波形失真。 瞬态分析法:是以单位阶跃信号为放大电路的输入信号,研究放大电路的输出波形随时间变化的情况,它又称为放大电路的阶跃响应或时域响应。此方法常以上升时间和平顶降落的大小作为波形的失真标志。其优点是可以很直观地判断放大电路的波形失真,并可利用脉冲示波器直接观测放大电路瞬态响应。 在工程实际中,这两种方法可以互相结合,根据具体情况取长补短地运用。 单级放大电路的瞬态响应的上升时间 放大电路的阶跃响应分析以阶跃电压作为放大电路的基本信号,图1表示一个阶跃电压,它表示为 放大电路的阶跃响应主要由上升时间t r 和平顶降落来表示。阶跃响应分析其目的是求出这两个参数,并可将它与稳态分析中参数相联系。 分析单级共射放大电路的阶跃响应时,可采用小信号等效电路,将阶跃电压可分为上升阶段和平顶阶段并按其特点对电路进行简化。 图1 图 2

阶跃电压中上 升较快的部分,与 稳态分析中的高频 区相对应,可用RC 低通电路来模拟, 如图 2(a)所示。 由图可知 式中V S是阶跃 信号平顶部分电压 值。与时间 的关系如图2(b)所示。 上式表示在上升阶段时输出电压v O随时间变化的关系。输入电压v S在t=0 时是突然上升到最终值的,而输出电压是按指数规律上升的,需要经过一定时间,才能到达最终值,这种现象称为前沿失真。一般用输出电压从最终值的10%上升至90%所需的时间t r来表示前沿失真,t r称为上升时间。 由图2(b)经推导可得 已知可得 或 可见,上升时间t r与上限频率f H成反比,f H越高,则上升时间愈短,前沿失真越小。 单级放大电路的瞬态响应的平顶降落 阶跃电压的平顶阶段与稳态分析中的低频区相对应,所以可用如图1(a)所示RC 高通电路来模拟。

按键消抖与时间按键

按键消抖与时间按键 这篇文章写给正在学51单片机的或者刚入门51单片机准备进阶的的朋友,我们来着重讨论一下按键消抖和时间按键这两项。 我们常用的按键大多都是机械的,机械开关就会出现机械振动,这个由物理学或者实验可以推出来,抖动会在单片机上面出现重复扫描次数,次数多少与单片机的时钟晶振有关,时钟晶振越高单片机执行速度越快,重复次数就越多 整个按键数百ms 按下瞬间,抖动时间大概10ms 弹起瞬间,抖动大概10ms 按键一次出现的电平变化 (上面的时间都是老师说的和书上现成的,没有实际测试,而且不同的按键应该也会有差异,作为学习研究确实不应该,找个时间锅锅会测出这个时间供大家参考,嘿嘿) 由图我们可以看出,按下去瞬间会出现抖动,弹起来也会出现抖动,明显是个阻尼振动,按键扫描程序是按顺序执行的; 首先提出三个问题大家思考一下 1.为什么要消除抖动 2.如何消抖 3.是不是按键都要消抖,不是的话,哪些需要消抖,哪些不需要消抖 4.消抖的时间是不是必须10ms 5.按键消抖的方式是不是一定像书上的那样,如何消抖更节省CPU,且更简单 按键如果不消除抖动,那么单片机检测到的低电平的次数就不止一次,那我们按键一次,单片机会检测到多次,比如我们把按某个按键设置按一次成某个变量加1,结果按一次就加了很多次,这样我们就不能精确的通过按键来调整我们想要的参数,所以我们消除抖动的目的就是要实现按一次按键让单片机读出一次按键操作 消抖分硬件和软件消抖, 硬件消抖有《模拟电子技术》上提到用三态门实现,当然还有周立功那个7920(管理数码管和按键的芯片),当然还有很多硬件电路以及一些按键有自带消抖电路,但是如果要做产

单片机课程设计-触控调光灯

绪论 随着经济发展的加快,科学技术的进步,人们生活水平得到了提高,设备逐渐完善。台灯是生活中的伴侣,我们是不喜欢与强烈的光线打交道的,因为强光对人身体是有害的,为了大家能健康地生活与工作,设计师也想出了一个较为完美的构思,就是台灯的出现,它的出现让很多朋友们得到了满意的效果,但是生活中没有完美的东西,台灯亦不例外,这就需要我们的改进。例如,很多时候我们并不需要让台灯处于全亮状态,有时候我们只需要微弱的光照即可,过强的光线反而会对使用者造成不必要的干扰,而且这也是对电能的一大浪费。此外,关于开关的使用寿命,也是影响台灯的耐用性的另一个问题。 因此,本设计的指导思想就是让台灯更耐用、更好用且能够调节亮度,解决过高的亮度对使用者的干扰同时也是为了节能,以及解决普通机械开关易损坏的问题。对于触模式台灯,手一触到台灯的感应部位灯就会自动亮,如果多触摸几下,台灯就会达到最亮的极限,这样就可以调节了台灯的亮度也避免了开关易坏导致的调节亮度易失灵的问题。本文介绍了触摸式台灯调光电路的设计及工作过程。本设计目的是通过设计工作熟悉触摸式调光灯电路基本构成与基本工作原理,并能将这些技术应用实际系统设计,提高自己对电路知识的认识。

一、系统工作原理 本设计采用STC公司的12系列单片机为控制芯片,配合外围电路进行对触摸按键的检测及对台灯亮度和开关的控制,利用单片机产生不同占空比的PWM方波实现对灯光的亮暗控制。此外,本设计的触控功能的实现则是利用单片机的I/O口的开漏模式且未加上拉电阻,在此模式下I/O更容易接受外界微弱的电平,更容易受到手指的干扰。 本设计中,在被设置成开漏的I/O口要在单片机读该口前将其置1,使其成为高阻状态,当I/O接口被设置到高阻输入状态时,I/O接口就是纯电平输入状态,在接口悬空时为低电平,当有手指触摸到I/O接口时,手指上的微弱电流会使I/O 接口跳变到高电平,只要读出I/O接口的电平高低就可以了解是否有触摸操作,然后加一段按键去抖程序后去调整与PWM占空比相关的数值,从而实现灯光亮度的连续可调。 二、系统硬件组成 本设计中所采用的芯片有STC公司的小型封装插件式单片机STC12C2052AD、AMS1117 5.0降压稳压IC、以及若干二极管、三极管,电阻电容等元器件。 其中: 2.1 控制部分 STC12C2052AD单片机的引脚位置与用途如图2-1所示: 图2-1 STC12C2050AD引脚图

按键开关消抖程序

按键开关消抖程序 实践中,单片机端口在连接开关器件时都要考虑消抖的问题,或在硬件上 增加延迟,或是增加软件延迟查询的功能模块。这里,我们考虑这样一个检测 电路:单片机连接一个开关和两个LED。程序是这样的,如果开关的消抖正确, 就点亮LED1,否则就闪亮LED2。按下开关,点亮LED1,释放开关,LED1 即熄灭。我们加入20 毫秒的消抖延迟时间。当检测到开关为低电平时,单片 机在延迟20 毫秒后再次检测开关的状态。如果此时开关状态为高,则LED2 就闪亮,如为低则点亮LED1。源代码: led1bitP2.0led2bitP2.1switch1bitP1.0ORG 0000hsetb switch1//initialize switch 1 as inputsetb led1//Turn OFF LED1setb led2//Turn OFF LED2 wait:jb switch1,wait// Wait till switch1 has been pressedcall debounce_delayjb switch1,c1_wait//switch low even after debouncing period//switch has been succesfully debouncedclr led1//Turn ON LED1jnb switch1,$//wait till switch has been releasedsetb led1//Turn OFF LED1ajmp wait c1_wait://Switch PIN high after debounce period so error in debouncingcpl led2ajmp wait debounce_delay://Subroutine for generating 20ms delaymov r7,#245l1_debounce_delay:mov r6,#40djnz r6,$djnz r7,l1_debounce_delayret END tips:感谢大家的阅读,本文由我司收集整编。仅供参阅!

扫描式矩阵键盘课程设计

扫描式矩阵键盘课程设 计 Company number【1089WT-1898YT-1W8CB-9UUT-92108】

4X4扫描式矩阵键盘课程设计课程设计名称: 4_4扫描式矩阵键盘设计 姓名: DUKE 班级:电子1008班 学号: 10086 成绩: 日期: 2014年1月6日 摘要 随着21世纪的到来,电子信息行业将是人类社会的高科技行业之一,式设施现代化的基础,也是人类通往科技巅峰的直通路。电子行业的发展从长远来看很重要,但最主要的还是科技问题。 矩阵式键盘提高效率进行按键操作管理有效方法,它可以提高系统准确性,有利于资源的节约,降低对操作者本身素质的要求。是它能准时、实时、高效地显示按键信息,以提高工作效率和资源利用率。 矩阵式键盘乃是当今使用最为广泛的键盘模式,该系统以N个端口连接控制N*N个按键,显示在LED数码管上。单片机控制依据这是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备系统的硬件、软件等各个部分进行实现。 4*4矩阵式键盘采用AT89C51单片机为核心,主要由矩阵式键盘电路、译码电路、显示电路等组成,软件选用C语言编程。单片机将检测到的按键信号

转换成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。 目录 第一章:系统功能要求-------------------------------------------------------- 1.1 4*4 矩阵式键盘系统概述------------------------------------------------ 1.2 本设计任务和主要内容--------------------------------------------------- 第二章:方案论证--------------------------------------------------------------- 第三章:系统硬件电路的设计------------------------------------------------ 3.1 单片机控制系统原理----------------------------------------------------- 3.2 原理图绘制说明---------------------------------------------------------- 3.3 画出流程图---------------------------------------------------------------- 3.4 原理图绘制---------------------------------------------------------------

RC电路的瞬态分析

第二章电路的瞬态分析 课堂设计

讲授准备 1.写好教案,准备多媒体教室并试验课件; 3.清点到课人数,登记教学日志; 4.接受报告,如有首长听课,须向首长报告。 课目:RC电路的瞬态分析 目的:1、RC电路的零输入响应。 2、RC电路的零状态响应。 3、RC电路的全响应。 内容:一、RC电路的零输入响应 二、RC电路的零状态响应 三、RC电路的全响应 方法:理论讲解、多媒体演示、课堂练习 时间:2课时 地点:教室 要求:1.遵守课堂纪律,姿态端正,认真听讲; 2.理论联系实际,做到学用结合; 3.认真讨论,积极踊跃发言。 保障:1.教材和笔记本; 2.多媒体课件和教鞭。 3.多媒体教室。 讲授实施 2.4 RC电路的瞬态分析

本节导学:本节主要学习RC 电路的零输入相应、零状态相应和全相应的微分方程。公式比较多,其实都是全相应的微分方程的解。 一、RC 电路的零输入响应 如图RC 串联电路中,先将开关S 闭合在a 端,使电容两端的电压充至U 0,然后突然将开关S 合到b 端。这个时候是不是就没有电源,也就是换路后外部激励为零,但在内部储能的作用下,电容经电阻开始放电。那么,这个时候电路的输出也就是电路的响应为零输入响应。 那么,我们研究RC 电路的零输入响应也就是研究电容的放电规律。 换路以后,根据KVL ,由换路后的电路可列出方程式: 0=+C C u Ri 由于电容的电流和电容的存在这样一个关系:dt du C i =,带入上面的方程,就有:0=+C C u dt du RC 。那么,这是一个一阶线性齐次常微分方程。所以我们也称这样的电路为一阶动态电路。 t RC t RC c C C C C C C C C Ae e e u c t RC u dt RC u du u dt du RC u Ri 1111 1 ln 1 00- -==+-=-==+=+ 那么,A 是任意常数。

按键消抖

一、按键消抖 1.1 计数器型消抖电路(一) 计数器型消抖电路(一)是设置一个模值为(N+1)的控制计数器,clk在上升沿时,如果按键开关key_in='1',计数器加1,key_in='0' 时,计数器清零。当计数器值为2时,key_out 输出才为1,其他值为0时。计数器值为N时处于保持状态。因此按键key_in持续时间大于N个clk时钟周期时,计数器输出一个单脉冲,否则没有脉冲输出。如果按键开关抖动产生的毛刺宽度小于N个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以实现。clk的时钟周期与N的值可以根据按键抖动时间由设计者自行设定。 主要程序结构如下: 图1是N为3的波形仿真图,当按键持续时间大于3个时钟周期,计数器输出一个单脉冲,其宽度为1个时钟周期,小于3个时钟周期的窄脉冲用作模拟抖动干扰,从图1可以看出,抖动不能干扰正常的单脉冲输出。 1 按键抖动产生原因分析 绝大多数按键都是机械式开关结构,由于机械式开关的核心部件为弹性金属簧片,因而在开关切换的瞬间会在接触点出现来回弹跳的现象。虽然只是进行了一次按键,结果在按键信号稳定的前后出现了多个脉冲,如图1所示。如果将这样的信号直接送给微处理器扫描采集的话,将可能把按键稳定前后出现的脉冲信号当作按键信号,这就出现人为的一次按键但微处理器以为多次按键现象。为了确保按键识别的准确

性,在按键信号抖动的情况下不能进入状态输入,为此就必须对按键进行消抖处理,消除抖动时不稳定、随机的电压信号。机械式按键的抖动次数、抖动时间、抖动波形都是随机的。不同类型的按键其最长抖动时间也有差别,抖动时间的长短和按键的机械特性有关,一般为5~10 ms,但是,有些按键的抖动时间可达到20 ms,甚至更长。所以,在具体设计中要具体分析,根据实际情况来调整设计。 2 按键消抖电路的设计 按键消抖一般采用硬件和软件消抖两种方法。硬件消抖是利用电路滤波的原理实现,软件消抖是通过按键延时来实现。在微机系统中一般都采用软件延时的消抖方法。在用可编程逻辑器件FPGA/CPLD设计数字系统中,也可以用VHDL语言设计相应的时序和逻辑电路,对按键信号进行处理,同样可以达到消抖目的。本文利用Altera公司的可编程逻辑器件CPLD和QuartusⅡ,设计性能可靠的按键消抖电路。 2.1 按键消抖电路设计原理 按键消抖的关键是提取稳定的低电平(或高电平)状态,滤除按键稳定前后的抖动脉冲。在用基于VHDL 语言的时序逻辑电路设计按键消抖电路时,可以用一个时钟脉冲信号对按键状态进行取样,当第一次采样到低电平时,启动延时电路,延时结束后,再对按键信号进行连续三次取样,如果三次取样都为低电平,则可以认为按键已经处在稳定状态,这时输出一个低电平的按键确认信号,如果连续三次的取样中,至少有一次是高电平,则认为按键仍处在抖动状态,此时不进行按键确认,按键输出信号为高电平。 2.2 按键消抖电路设计 该控制电路采用VHDL语言的有限状态机的设计方法来描述和实现,其状态转换图如图2所示。

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

电工学I(电路与电子技术)[第三章一阶电路的瞬态分析]山东大学期末考试知识点复习

第三章一阶电路的瞬态分析 3.1.1 换路定则 在换路瞬间(t=0),根据能量不能跃变的原理,则有电感电流不能跃变和电容 电压不能跃变。即 t=0-表示换路前终了瞬间;t=0+表示换路后初始瞬间。换路定则主要用来确 定换路瞬间,即t=0 时刻电感电流和电容电压的初始值,然后再根据基本定律确+ 时刻其他各个电量的初值。 定t=0 + 3.1.2 储能公式 电感储存的磁场能量与电流有关;电容储存的电场能量与电压有关。且 注意:电感电压可以跃变;电容电流可以跃变;电阻只耗能不储能,故不产生瞬 态过程,其中的电压和电流均可发生跃变。 3.1.3“三要素法”公式 即f(t)=稳态分量+瞬态分量,其中f(t)表示一阶线性电路瞬态过程中的任意 变量(电流或电压);f(∞)表示换路后电路已达到稳定状态时电流或电压的稳态 值;f(0+)表示瞬态变量的初始值;时间常数τ是表征瞬态过程进行快慢的参数, 它的大小反映了电路中能量储存或释放的速度,τ愈大,则瞬态过程时间愈长。 对于RC电路:τ=RC。对于RL电路:τ=L/R。 注意:这里的R、L和C都是等效值,其中的R是取换路后的电路,从储能 元件两端看进去的一个等值电阻。“三要素法”只适用于求解直流电源激励的一

阶线性电路的瞬态响应。 3.1.4 RC串联电路的矩形波脉冲响应特点 对于RC串联电路,当输入信号为连续的矩形波脉冲周期信号时,在不同的电路时间常(τ=RC)下,从电阻或电容两端会获得不同的输出电压波形,从而使输出信号与输入信号之间可形成近似的一种微分关系或积分关系。 3.2.1 本章重点 (1)换路瞬间(t=0+)各电量初始值的确定。换路定则仅适用于换路瞬间,可根据它来确定t=0+时电路电压和电流之值。即瞬态过程的初始值,其方法如下。 ①由t=0-时的等效电路求出u C(0-)和i L(0-)。如果换路前电路处于稳态,则电感视为短路,电容视为开路。 ②在t=0+的电路中,用换路定则确定的u C(0+)和i L(0+)出t=0+的等效电路。 ③用电压源U0=u C(0+)代替电容,用电流源I0=i L(0+)代替电感。作出t=0+时刻的等效电路,应用求解直流电路的方法,计算电路中其他各量在t=0+时的初始值。 (2)瞬态过程结束后(t=∞),各电量稳态值的求取。此时电感视为短路,电容视为开路,再应用直流电路的分析方法进行求解。 (3)理解“三要素法”公式,并能熟练地应用。对于同一电路中的任何电压

按键消抖实验

基于verilog按键消抖设计 Aaron malone 关于键盘的基础知识,我就以下面的一点资料带过,因为这个实在是再基础不过的东西了。然后我引两篇我自己的博文,都是关于按键消抖的,代码也正是同目录下project里的。这两篇博文都是ednchina的博客精华,并且在其blog 首页置顶多日,我想对大家会很有帮助的。 键盘的分类 键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。而靠软件编程来识别的称为非编码键盘。 在单片机组成的各种系统中,用的最多的是非编码键盘。也有用到编码键盘的。非编码键盘有分为:独立键盘和行列式(又称为矩阵式)键盘。 按键在闭合和断开时,触点会存在抖动现象:

从上面的图形我们知道,在按键按下或者是释放的时候都会出现一个不稳定的抖动时间的,那么如果不处理好这个抖动时间,我们就无法处理好按键编码,所以如何才能有效的消除按键抖动呢?让下面的两篇博文日志给你答案吧。 经典的verilog键盘扫描程序 从最基础的分频程序开始,但看到这个键盘扫描程序后,直呼经典,有相见恨晚的感觉,还想说一句:威百仕( VibesIC ),我很看好你!WHY?待我慢慢道来,这个程序的综合后是0error,0warning。想想自己编码的时候那个warning是满天飞,现在才明白HDL设计有那么讲究了,代码所设计的不仅仅是简单的逻辑以及时序的关系,更重要的是你要在代码中不仅要表现出每一个寄存器,甚至每一个走线。想想我写过的代码,只注意到了前者,从没有注意过后者,还洋洋自得以为自己也算是个高手了,现在想来,实在惭愧啊!学习学习在学习,这也重新激发了我对HDL设计的激情,威百仕给了我一个方向,那我可要开始努力喽! 废话说了一大堆,看程序吧:(本代码经过ise7.1i综合并下载到SP306板上验证通过)

课程设计-制作单片机的4X4矩阵键盘

课程设计-制作单片机的4X4矩阵键盘

目录 摘要.............................................. 错误!未定义书签。第一章硬件部分 (5) 第一节AT89C51 (5) 第二节4*4矩阵式键盘 (8) 第三节LED数码管 (11) 第四节硬件电路连接 (13) 第二章软件部分 (15) 第一节所用软件简介 (15) 第二节程序流程图 (18) 第三节程序 (20) 第三章仿真结果 (23) 心得体会 (26) 参考文献 (27)

第一章硬件部分 第一节AT89C51 AT89C51是一种带4K字节FLASH存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。引脚如图所示 AT89C5 图1 AT89C51管脚 图 AT89C51其具有以下特性: 与MCS-51 兼容 4K字节可编程FLASH存储器 寿命:1000写/擦循环 数据保留时间:10年

全静态工作:0Hz-24MHz 三级程序存储器锁定 128×8位内部RAM 32可编程I/O线 两个16位定时器/计数器 5个中断源 可编程串行通道 低功耗的闲置和掉电模式 片内振荡器和时钟电路 特性概述: AT89C51 提供以下标准功能:4k 字节Flash 闪速存储器,128字节内部RAM,32 个I/O 接口,两个16位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存RAM中的内容,但振荡器停止工作并禁止其它所有部件工作直到下一个硬件复位。 管脚说明: VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的低八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须接上拉电阻。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为低八位地址接收。

电路的暂态分析习题解答

电路的暂态分析习题解 答 公司内部档案编码:[OPPTR-OPPT28-OPPTL98-

第五章 电路的暂态分析 题图所示各电路在换路前都处于稳态,求换路后电流i 的初始值和稳态值。 解:(a )A i i L L 32 6)0()0(===-+, 换路后瞬间 A i i L 5.1)0(2 1)0(==++ 稳态时,电感电压为0, A i 32 6== (b )V u u C C 6)0()0(==-+, 换路后瞬间 02 ) 0(6)0(=-= ++C u i 稳态时,电容电流为0, A i 5.12 26=+= (c )A i i L L 6)0()0(11==-+,0)0()0(22==-+L L i i 换路后瞬间 A i i i L L 606)0()0()0(21=-=-=+++ 稳态时电感相当于短路,故 0=i (a)(b) (d) (c) C C 2Ω 2 +6V - 题5.1图 i

(d )2 (0)(0)6322 C C u u V +-==?=+ 换路后瞬间 6(0)63 (0)0.75224 C u i A ++--= ==+ 稳态时电容相当于开路,故 A i 12 226 =++= 题图所示电路中,S 闭合前电路处于稳态,求u L 、i C 和i R 的初始值。 解:换路后瞬间 (0)6L i A +=, (0)3618C u V +=?= (0)6(0)0R L i i ++=-= (0)18 (0)(0)6033 C C L u i i +++=-=-= (0)(0)(0)0L C R u u Ri ++++==, (0)(0)18L C u u V ++=-=- 求题图所示电路换路后u L 和i C 的初始值。设换路前电路已处于稳态。 解:换路后,(0)(0)4L L i i mA +-==, 所以换路后4mA 电流全部流过R 2,即 (0)4C i mA += 由于(0)(0)8C C u u V +-==,故 2(0)(1)(0)(0)20812L L c u R R i u V +++=-++=-+=- Ω +u L -题5.2图 题5.3图 C

使用硬件方式对按键进行消抖处理

按键电路:常用的非编码键盘,每个键都是一个常开开关电路。 按键消抖: 通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,电压信号小型如下图。由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,如下图。抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。这是一个很重要的时间参数,在很多场合都要用到。 按键稳定闭合时间的长短则是由操作人员的按键动作决定的,一般为零点几秒至数秒。键抖动会引起一次按键被误读多次。为确保CPU对键的一次闭合仅作一次处理,必须去除键抖

动。在键闭合稳定时读取键的状态,并且必须判别到键释放稳定后再作处理。按键的抖动,可用硬件或软件两种方法。 <1> 硬件消抖:在键数较少时可用硬件方法消除键抖动。下图所示的RS触发器为常用的硬件去抖。 图中两个“与非”门构成一个RS触发器。当按键未按下时,输出为1;当键按下时,输出为0。此时即使用按键的机械性能,使按键因弹性抖动而产生瞬时断开(抖动跳开B),中要按键不返回原始状态A,双稳态电路的状态不改变,输出保持为0,不会产生抖动的波形。也就是说,即使B 点的电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。这一点通过分析RS触发器的工作过程很容易得到验证。 <2> 软件消抖:如果按键较多,常用软件方法去抖,即检测出键闭合后执行一个延时程序,产生5ms~10ms的延时,让前沿抖动消失后再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有键按下。当检测到按键释放后,也要给5ms~10ms的延时,待后沿抖动消失后才能转入该键的处理程序。按键消抖

VHDL入门:关于按键消抖的那个process

这学期的EDA课程设计有涉及到一个按键信号稳定的问题,虽然就算没有这块处理,最后成绩只会扣3分,但自己觉得像LED亮度变化,数字钟设置这些功能,如果没有加进一个稳定按键信号的模块,根本不能算是已实现的功能。按键消抖的程序在网上有几种可供选择,但这里只讨论一种,本人觉得简单得来又比较强大的一种。 其实消抖的原理就是把一个按键周期内所输入的所有有效信号,包括那些毛刺,处理成一个脉冲输入。能达到这点,就可以实现消抖功能了。 功能的源代码: 代码中的 key 是按键输入,count 是自定义的计数器,N的值可以根据需要结合时钟频率设置,如果只是想达到按键一次输入一个脉冲的效果,建议 count 的时长设为 5ms,key_en 是处理完后输出的单个脉冲,至于有效信号是 '0' 还是'1' ,这要看板上的电路设计了。此代码中是 '0' 为有效信号。 不要怀疑这段代码有错,理清逻辑后再套用,如果弄不明白什么原理,建议还是别用,用了可能会更糟糕。要注意的是一个 process 中只能有一个时钟信号,否则很容易出错,就算编译通过,实际操作还是不行。所以如果要对多个按键消抖,一定要在 "if clk'event and clk='1' then" 语句的内部增加,别重新设置一个 clk'event 。还有就是按键的消抖功能块最好用单独的 process 运行,将 key_en 设置成新的按键输入信号,而实际的输入信号 key 只在按键消抖的process 中读入。 之所以说这种消抖方法简单得来又比较强大,是因为这方法不需要用到什么状态机、component 之类较高级点的东西,只需要多个 process 即可;另外这种方法还有其他的拓展用途,比如可以利用这个 count 延时周期设置一个短按键和长按键的识别,实现长按此键切换或者 reset 等等的功能,这里不详细解释。这种拓展的用途是用 D触发器消抖的方式没办法实现的(其实是我还没想到,难讲有高手可以实现)。 这篇小日志是个人学习成长的一个记录见证,也希望它能给那些刚开始学习VHDL的同学们一点帮助。不想每个初学者都像我这样要用一天只睡两个钟的代

Verilog写的按键消抖程序

前几天看了特权同学用Verilog写的按键消抖程序,感觉很经典。在这里将程序贴出来分享一下。 module lcd_button2(clk,rst,seg,wei,sw1,sw2,sw3,sw4);//按键按下,数码管依次显示0-9 input clk; input rst; input sw1,sw2,sw3,sw4; output [3:0] wei; output[7:0] seg; reg [7:0] seg; reg [3:0] wei; integer num; initial begin num = 0; end reg[3:0] key_rst; always @(posedge clk or negedge rst) if(!rst) key_rst <= 4'b1111; else key_rst <= {sw4,sw3,sw2,sw1}; reg[3:0] key_rst_r; always @(posedge clk or negedge rst) if(!rst) key_rst_r <= 4'b111; else key_rst_r <= key_rst; wire[3:0] key_an = key_rst_r & (~key_rst); reg[19:0] cnt; always @(posedge clk or negedge rst) if(!rst) cnt <= 0; else if(key_an) cnt <= 0; else cnt <= cnt+1'b1; reg [3:0] low_sw; always @(posedge clk or negedge rst)

最新动态电路的暂态分析

动态电路的暂态分析

第六章 动态电路的暂态分析 本章的主要任务是认识动态电路的过渡过程,学习动态电路过渡过程的变化规律,掌握动态电路过渡过程的基本分析方法。 本章基本要求 1. 了解动态电路过渡过程产生的原因。 2. 正确理解电路的换路定律。 3. 求解电路的初始值和稳态值。 4. 正确理解动态电路的零输入响应、零状态响应和全响应。 5. 掌握动态电路暂态分析的经典法。 6. 掌握一阶电路的三要素分析法。 7. 一阶电路过渡过程变化规律及其物理意义。 本章习题解析 6-1 电路如图6-1所示,已知6=U V ,Ω=51R ,Ω=12R ,Ω=43R ,开关S 闭合前电路已处于稳态。0=t 时开关S 闭合。试求+=0t 时的C u 、L u 、i 、i C 和i L 。 图6-1 3 i R 1 R 3 3

t = 0-时等效电路 t = 0+时等效电路 图6-1(a) 图6-1 (b) 解 (1)画出换路前t = 0-时的等效电路,如图6-1(a)所示,得 A 1)0(2 1=+= -R R U i L 1)0(22 1=+= -R R R U u C V 由换路定律,得 A 1)0()0(==-+L L i i , V 1)0()0(==-+C C u u (2)画出换路后t = 0+时的等效电路,如图6-1(b)所示,得 ()25.14 1 60=-= +C i A ()25.010)0(=-=++C i i A ()()5100=?-=++C C i u u V 6-2 电路如图6-2所示,已知220=U V ,Ω=1201R ,Ω=3202R , Ω=1003R ,1=L H ,10=C μF ,0=t 时开关S 闭合。试求:(1)+=0t 时的i 、 1i 、2i 、L u 、C u ;(2)当电路进入稳态后)(∞=t ,计算上述电流和电压的值。 3 图6-2

课程设计报告矩阵键盘控制

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:矩阵键盘控制接口设计作者所在系部:电子工程系 作者所在专业:电子信息工程 作者所在班级: 作者姓名: 作者学号:0 指导教师姓名: 完成时间:2009-12-18

内容摘要 本课程设计所用实验器材主要有计算机和北京精仪达盛科技有限公司的EL教学实验箱。经编译、仿真,检查无误并且符合设计要求后,正确的将脉冲源、FLEX10K \ EPF10K10LC84-3芯片、5行×6列薄膜轻触按键键盘、数码管按设计要求连接好。将程序下载到实验箱的FLEX10K \ EPF10K10LC84-3芯片中,则可观察到预期的实验效果,即当按下某一键时,在数码管上显示该键对应的键值。 本课程设计需设计键盘接口消抖动元件(底层文本)和矩阵键盘接口电路(顶层文本)。在顶层文本中包含扫描信号发生模块、按键消抖动模块、按键译码模块、寄存器-选择器模块和数码管的译码模块。 关键词:VHDL语言 EDA技术按键消抖动电路键盘扫描电路键值译码电路按键码存储电路显示键值电路

目录 一概述 (5) 二方案设计与论证 (5) 三单元电路设计 (6) 1.键盘接口消抖动元件 (6) 2.时钟产生电路 (6) 3.键盘扫描电路 (7) 4.键盘译码电路 (7) 5.寄存器_选择器模块电路 (7) 6.译码模块电路 (7) 四器件编程与下载 (8) 五性能测试与分析 (16) 六实验设备 (16) 七心得体会 (16) 八参考文献 (17)

课程设计任务书

一、概述 本课程设计的基本原理是在时钟信号的控制下,使数码管上显示所按下的键值,并 且能够保持直到下一个按键被按下。首先,构思一个8×4的矩阵键盘控制顶层电路的 模块划分图兼端口及内部信号定义图,再用VHDL语言编辑文本程序,需先录入底层文 件(键盘接口消抖动元件DEBOUNCING的描述)再录入键盘接口电路主程序,保存编译 并检查程序是否有语法错误,再仿真观察波形是否符合所预期的设计要求,当一切都满 足要求后,即可将程序下载到实验箱的FLEX10K \ EPF10K10LC84-3芯片中。正确的将 脉冲源、FLEX10K \ EPF10K10LC84-3芯片、5行×6列薄膜轻触按键键盘、数码管按设 计要求连接好。再将程序下载到实验箱的FLEX10K \ EPF10K10LC84-3芯片中,则可观 察到预期的实验效果,即当按下某一键时,在数码管上显示该键对应的键值。如:当按 下5时,数码管显示05。 二、方案设计与论证 把该矩阵键盘控制器分为两部分进行设计,先设计键盘接口消抖动电路,再设计键盘接口电路的主程序。 在主程序中包含时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路、按键码存储电路、显示电路模块并且分别进行分析来实现所需的功能。 实验仪器中4×8矩阵键盘的电路原理图如图所示 图1. 4×8矩阵键盘的电路原理图

相关文档
最新文档