LED3216电子钟电路图

LED3216电子钟电路图
LED3216电子钟电路图

LED3216电子钟电路图

正式版硬件电路升级的内容有1、增加了电源部分,对初学者是一个很大的帮助;

2、增加了电源指示灯;

3、在DS18B20的数据端增加了上拉电阻;

4、在四只按键的控制端增加了上拉电阻,解决了调整时有时会出现大幅跳变的问题;

5、将LED行限流电阻减小以提高亮度;

6、下载接口改为标准10针ISP接口。

1、电源电路

POWER应使用普通直流电源接口,这样就可以利用普通的直流7~9V电源适配器作为电源;二极管D1是起反接保护的作用,防止外电源接反烧毁芯片;7805是5V集成稳压芯片;LED和R1是电源指示灯和其限流电阻,不需要可以省略。

这里着重说一下单刀双掷开关SW和排针JP1的作用:当SW打到上面时,使用POWER接口的电源适配器供电,同时排针JP1可以作为5V电源输出,将来做实验有可能会用到外部电路模块,就可利用这个5V输出;当SW打到下面时,排针JP1则从5V电源输出变为外部5V电源输入,这是为了方便那些无电源适配器但是有5V实验电源的爱好者。

2、单片机控制电路

单片机AT89S52也可以使用其他系列的(例如STC系列),但是不能使用AT89S51,不能选用ROM小于8K的。

时钟芯片DS1302的VCC1需接后备电池BT1(3~4V),当整个系统断电时,DS1302自动由后备电池供电,实现掉电走时。由于DS1302还具有当主电源VCC2正常时自动为后备电池进行涓流充电的功能,所以BT1最好使用3.6V镍氢可充电电池,如果没有这种电池,也可以使用纽扣电池RS2032(也就是电脑主板电池),当然还需配一个RS2032电池座。

可以在蜂鸣器电路的电阻一端加一个开关后再连接到P1.4,这样可以避免在调试程序意外开启蜂鸣器而导致长鸣。

3、LED点阵电路

LED点阵模块有16只引脚,8只为行8只为列,但是他们不是按规律排列的,对于DIY来说,这个足矣让人伤透脑筋,需要足够的谨慎。8块LED点阵模块连接遵循的原则是:连接处在同一行的点阵模块对应的行的引脚,连接处在同一列的点阵模块对应的列的引脚。本作品所使用的点阵模块为LG12088BH(外形尺寸为32mm*32mm),在电路图中已经标出各行和各列的引脚号,这里还是列了一个表,如表1所示。如果使用的是其它型号或尺寸的点阵模块,则不可依据此表。

实物中将点阵模块正面对着自己、型号朝下方,从左下角的第一个引脚开始,按逆时针的顺序,依次为1-16,遵循芯片引脚排列的原则。

4、行驱动电路

行驱动电路非常简单,使用2片74HC245即可,无外围电路。R9~R24为LED点阵行的限流电阻,有爱好者认为限流电阻的值选得过小,其实不必担心电流过大,甚至还可以用47欧姆的,因为点阵屏工作时是高速动态扫描,没有持续电流,仅仅是一个脉冲而已,你可以这样理解,在LED还没完全点亮的时候就断电了。

5、列译码电路

两片4-16线译码器74HC154组成了列译码电路,这是让点阵屏各列逐一选通的控制电路。去采购元器件时注意双列直插的74HC154有宽体和窄体两种,制作时窄体更能节约空间。

6、列驱动电路

列驱动电路让人看起来很晕。在DIY时这个部分要特别注重布局、排版,这样才能起到事半功倍的效果。

二、LED3216电子钟DIY元器件清单

为了让初学者少走弯路,尽快加入DIY爱好者的行列,列出本作品的元器件清单(表2),你可以将此表打印出来,就算是十分业余也能把元器件正确地买回来。

表2 LED3216电子钟DIY元器件清单(下载此表)

注:上表为电路元器件清单,没有包括实验板、导线等器材和工具

三、LED3216电子钟PCB元器件清单

本站同时也提供本产品的PCB板和套件,详情请见:

https://www.360docs.net/doc/1113678377.html,/post/331.html,需要的朋友请留意,PCB元器件清单如表3。

表3 LED3216电子钟PCB元器件清单

数字钟设计

东北石油大学课程设计 2012年6月10日

东北石油大学课程设计任务书 课程硬件课程设计 题目数字钟设计 专业 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1.具有时,分,秒,计数显示功能,以24小时循环计时。 2.具有清零功能。 三、扩展要求: 1.调节小时、分钟功能。 2.整点报时功能,整点报时的同时LED灯花样显示。 四、参考文献: [1] 潘松,王国栋,VHDL实用教程〔M〕.成都:电子科技大学出版社,2000.(1) [2] 崔建明主编,电工电子EDA仿真技术北京:高等教育出版社,2004 [3] 李衍编著,EDA技术入门与提高王行西安:西安电子科技大学出版社,2005 [4] 侯继红, 李向东主编,EDA实用技术教程北京:中国电力出版社,2004 [5] 沈明山编著,EDA技术及可编程器件应用实训北京:科学出版社,2004 完成期限2周 指导教师 专业负责人 2012年7 月 6 日

东北石油大学课程设计成绩评价表 指导教师:年月日

摘要 本文对EDA的概念,技术及其应用进行了概述并利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。根据系统设计要求,系统设计采用自顶向下设计方法,由时钟分频部分、计时部分、按键部分调时部分和显示部分五个部分组成。这些模块都放在一个顶层文件中。 首先下载程序进行复位清零操作,电子钟从00:00:00计时开始。sethour可以调整时钟的小时部分, setmin可以调整分钟,步进为1。 用6位数码管分别显示“时”、“分”、“秒”,通过OUTPUT( 6 DOWNTO 0 ) 上的信号来点亮指定的LED七段显示数码管。 手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 关键词:EDA(电子设计自动化);VHDL(硬件描述语言),数字钟。

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

基于51单片机的电子时钟设计源程序

#include unsigned char DispBuf[6]; //时间显示缓冲区 unsigned char Disdate[6]; //日期显示缓冲区 unsigned char DisSec[6]; //秒表缓冲区 struct //设定时间结构体 { unsigned char Hour; unsigned char Min; unsigned char Sec; }Time; struct //设定日期结构体 { unsigned char Year; unsigned char Month; unsigned char Days; }Date; struct //设定毫秒结构体 { unsigned char Minite; unsigned char Second; unsigned char MilliSec; }Millisecond; unsigned char point=0; unsigned char point1=0; unsigned char point2=0; unsigned char Daymount; unsigned char Daymount1; unsigned char T0_Int_Times=0; //中断次数计数变量 unsigned char Flash_flag=0; //闪烁标志,每半秒闪烁 unsigned char Flash_flag1=0; //闪烁标志,每半秒闪烁 unsigned char DisPlay_Back=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char DisPlay_Back1=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char i,j; unsigned char SetMillisecond; //启动秒表 code unsigned char LEDCode[]={0x01,0xd7,0x22,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80}; //数码管显示代码 code unsigned char ErrorLEDCode[]={0x01,0xe7,0x12,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80};//绘制错误图纸的数码管显示代码 void DisPlayBuf(); void ChangeToDispCode(); void ChangeToDispCode1(); void changedate(); // 调日期 void displaydate(); // 显示日期 void makedays(); //确定每个月的日期 void runSec();

单片机电子时钟的设计报告

目录 1 引言 (1) 2 设计任务与要求 (2) 2.1. 设计题目 (2) 2.2. 设计要求 (2) 3 系统的功能分析与设计方案 (3) 3.1. 系统的主要功能 (3) 3.2. 系统的设计方案 (3) 3.3. 数码管显示工作原理 (4) 3.4. 电路硬件设计 (5) 3.4.1. 设计原理框图 (5) 3.4.2. 电源部分 (5) 3.4.3. 复位电路 (6) 3.4.4. 指示灯电路 (6) 3.4.5. 按键电路 (7) 3.4.6. 时钟电路 (7) 3.4.7. 驱动电路 (8) 3.4.8. 数码管连接电路 (8) 3.4.9. 主控模块AT89S52 (9) 3.4.10. 材料清单 (10) 3.4.11. 电路原理图、PCB图及实物图 (11) 3.5. 软件设计 (13) 3.5.1. 软件设计流程 (13) 3.5.2. 完整源程序 (15) 4 系统安装与调试 (21) 4.1. 硬件电路的安装 (21) 4.2. 软件调试 (21) 5 课程设计总结 (22) 参考文献 (23) 致谢 (24)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面。这次课程设计通过对它的学习、应用,以AT89S52芯片为核心,辅以必要的电路,设计了一个简易的单片机电子时钟,包括硬件电路原理的实现方案设计、软件程序编辑的实现、电子时钟正常工作的流程、硬件的制作与软件的调试过程。电子时钟由5.0V直流电源供电,数码管能够比较准确显示时间,通过按键能够调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机;AT89S52;电子时钟;数码管;按键

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:冯燕升、吴永涛、卓小林、蔡卿指导老师:麦山 日期:2013/12/27

摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动校正的功能。 关键词数字电子钟;计数器;GAL 1设计任务及其工作原理 用集成电路设计一台能自动显示时、分、秒的数字电子钟,只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入的校正。 1.1工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用GAL16V8D 设计成六十进制计数器,个位设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;用GAL22V10D设计时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2电路的组成 2.1 计数器部分:利用GAL22V10和GAL16V8D芯片分别组成二十四进制计数器和六十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2 显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D和CD4040芯片设计一个分频器,使连续输出脉冲信号时间间隔为0.5s 3设计步骤及方法 3.1 分和秒部分的设计: 分和秒部分的设计是采用GAL16V8D芯片来设计的60进制计数器,具体设计如图1示:

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

LCD电子钟课程设计

LCD电子钟课程设 计

课程设计(论文) 课程名称微机原理与单片机综合设计与实践题目名称 LCD显示的电子钟 01月10日

广东工业大学华立学院 课程设计(论文)任务书 题目名称LCD显示的电子钟 学系学部 专业班级 姓名 学号 一、课程设计(论文)的内容 以89C52单片机控制的时钟,在LCD显示器上显示当前的时间。设计要求:使用文字型LCD显示器显示当前时间。 设计能支持年月日星期时分秒的时钟,时钟要具有时间调整功能。 用4个功能键操作来设置当前时间。功能键K1~K4功能如下。 (1)K1-改变时间。 (2)K2-增加。 (3)K3-减少。 (4)K4-清零。 二、课程设计(论文)的要求与数据 1.总体设计方案、系统原理框图; 2.硬件电路各部分的电路原理图; 3.程序流程图; 4.源程序;

5.结论 三、课程设计(论文)应完成的工作 1.分析任务书,给出总体设计方案,画出系统原理框图; 2.硬件电路设计,给出主要电路原理图; 3.软件设计,给出程序流程图,完成程序设计和软件调试; 4.完成调试; 5.完成课程设计报告的撰写,及时上交课程设计报告。 四、课程设计(论文)进程安排 五、应收集的资料及主要参考文献 [1]张毅刚,彭喜源,谭晓昀.MCS-5系列单片机实用设计[M].哈尔滨工业大 学出版社, . [2]胡汉才.单片机原理及接口技术[M].清华大学出版社, .

[3]何立民.MCS-51系列单片机应用系统设计[M].北京航空航天大学出版社, . [4]杨亭.电子CAD职业技能鉴定教程[M].广东科技出版社, . 发出任务书日期: 12月30 日指导教师签名: 计划完成日期: 01 月10 日教学单位责任人签章:

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

数字钟电路设计

本次设计题目:数字钟电路设计 1 简述 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。在控制系统中也常用来做定时控制的时钟源。 2 题目要求 (1)具用时、分、秒十进制数字显示的计时器功能; (2)具有手动校时、校分的功能; (3)通过开关能实现小时的十二进制和二十四进制转换; (4)具有整点报时功能。 主要集成芯片: 计时单元74160 报时单元74192 3 总体方案设计 数字钟由振荡器、分频器、计数器、译码显示、报时等电路组成。其中振荡器和分频器组成标准秒信号发生器,直接决定计时系统的精度。由不同进制的计数器、译码器和显示器组成计时系统。将标准秒信号送入采用60进制的“秒计数器”,每累计60sec就发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60min,发出一个“时脉冲”,该信号将被送到“时计数器”。“时计数器”采用12或24进制计数器,可实现对一天12h 或24h的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过6位7段译码显示器显示出来,可进行整点报时,计时出现误差时,可以用校时电路校时、校分。数字钟的原理框图如图2.1所示。

时显示器校分控制电路 校时控制电路秒计时器 分计时器时计时器秒显示器 分显示器报时分频 晶振 图2.1 数字钟原理框图 4 单元电路设计提示 本题目的设计采用自下而上的层次电路设计法。先设计单元电路,再设计总电路。 (1) 秒脉冲产生电路 秒脉冲产生电路在此例中的主要功能有两个:一是产生标准脉冲信号,二是可提供整点报时所需要的频率信号。可用1Hz 的秒脉冲时钟信号源替代。 V11 Hz 5 V 图2.2 1Hz 的秒脉冲时钟信号源 (2) 秒、分、时计时器电路 秒计时器本质上为对1Hz 的秒脉冲时钟信号源进行60进制计数的计数器,其由一个10进制计数器(个位)和一个6进制计数器(十位)串接组成。个位与十位计数器之间采用同步级联复位方式,将个位计数器的进位输出端RCO 接至十位计数器的时钟信号输入端CLK ,完成个位对十位计数器的进位控制。十位计数器选择Q B 和Q C 端做反馈端,经与非门输出至控制清零端CLR ,形成6进制计数形式。十位

电路CAD时钟设计报告

信息科学与工程学院设计性实验报告 班级:电子专业 07 级 3 班 实验项目名称:时钟控制器设计 实验项目性质:设计性实验 实验所属课程:电路CAD 实验室(中心):语音楼2楼 指导教师: 实验完成时间: 2010 年 4 月 24 日

一、实验目的 用VHDL语言设计一个数字时钟。 二、实验内容及要求 设计一个具有以下功能的可编程时钟控制器: 1.具有时分秒计时,6位数字显示的时钟电路; 2.具有快速校准时、分的校时功能。 3.扩展功能,要求能够实现闹钟的功能 三、实验原理 通过定义的中间信号量,再通过写的若干个进程里的敏感信号量实现进位计时。通过输入信号选择对分、时的调整。 四、实验仪器、材料 QUARTUSII软件编译环境、GW48 EDA/SOPC实验箱 五、方案设计 由于实验箱上能够实现多位预置数的模式并不具备6位数码管显示功能,所以只能通过功能选择键,再通过指定的一个按键来实现相应时钟位的加一操作,为了能够快速的调节时间,所以有必要将时、分的个位和十位分开调节。由于还有定时功能的实现,所以需要相应的按键及程序实现时钟显示和定时时间的设定。 具体步骤为: 定义输入输出端口,定义相关中间信号量。由于输入时钟信号不一定是频率为1HZ 的,所以需要编写一个分频计数器分频出1HZ的频率用以作为秒计数时钟的进程。分别设计分、秒、时的相关计数程序,还有显示程序。本实验设计的相关控制按键的控制状态图如下所示:

具体程序如下所示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shizhong is port( clk1,tss,tsg,tfs,tfg,ding,clk2:in std_logic; md1:in std_logic; md2:in std_logic_vector(1 downto 0); speak:out std_logic; sout1:out std_logic_vector(3 downto 0); sout2:out std_logic_vector(3 downto 0); fout1:out std_logic_vector(3 downto 0); fout2:out std_logic_vector(3 downto 0); mout1:out std_logic_vector(3 downto 0); mout2:out std_logic_vector(3 downto 0)); end shizhong; architecture one of shizhong is signal clk:std_logic;

电子时钟的设计及程序

电子时钟的设计及程序 一.设计目的: 1.理解掌握定时/计数器和中断的使用方法。 2.掌握微机常用的输入输出方式及接口技术。 3.掌握一定的汇编语言知识,培养自己的动手操作能力。 4.学习程序设计的基本思路和方法。 二.程序内容: 第一部分:定义显示界面。 第二部分:调用系统时间,并将调用的用二进制表示的时间数转换成ASCII码,并将时间数存入内存区。 第三部分:将存在系统内存区的时间数用显示字符串的形式显示出来。 第四部分:获取键盘的按键值,以ESC键退出系统返回DOS。三.程序设计原理: 首先在数据段开辟一显示缓冲区,用来存储系统时间。调用DOS中断,返回系统时间,并将来返回的二进制时间转换成ASCII码,方便时间显示时的调用。分别将来小时数、分钟数、秒数存入显示缓冲区,并最终存入一结束字符号’$’。调用DOS字符串显示功能将时间显示 出来。并调用屏幕I/O中断,定位光标的开始位置,结合着将时间显示在我们预先定义好的位置上。由于获取了的系统时间不会自动刷

新,所以我们要设计成刷新的方式来不断获取系统的时间,这样就形成了会跳动的电子钟了。调用延时TIME延时中断服务程序,累加到存放秒值的寄存器DL中,并进行十进制调整。在累加的过程中,不断地对时、分、秒值进行比较,秒不能等于60,分不能等于60,时不能等于24。秒等于限制值时,则使秒值为0分值加1;分等于限制值时,则使分值为0时值加1;时等于限制值时,则使时值为0;时、分、秒值都不超过限制值时,就转显示屏输出。时间显示的刷新要配合延时程序进行,为了得到良好的显示效果,延时程序要尽量接近1秒,但又不能超过一秒,所以本程序调用了一段较精确的时间延迟程序。利用BIOSS设计窗口,选择适当的背景和前景等,使屏幕显示更加完美。程序一旦进入运行,就将不间断地在显示屏显示时间,要想程序停止运行,可同时在键盘按下ESC键返回DOS系统。四.程序流程图如下: DATA SEGMENT ;设置数据段 BUF1 DB 'THE TIME IS NOW: $' BUF5 DB ' @@@@@ ^^^^^^^ @@@@@@ $' BUF6 DB ' &&&&&& ####### &&&&&& $' BUF7 DB ' 00 >o o < 00 $' BUF8 DB ' 00 (::) 00 $' BUF9 DB ' 00 ~~ 00 $' BUF10 DB ' 00 !! 00 $' BUF3 DB 'CLASS:040402206 $' BUF4 DB 'NAME:hu ling wei $' BUF2 DB 10 DB 10 DUP(?) DATA ENDS STACK SEGMENT STACK ;设置堆栈段

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

数字钟电路pcb设计讲解

摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片

目录 前言 (1) 第一章绪论 (2) 1.1 数字钟的研究背景和意义 (2) 1.2 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 2.1 电路组成方框图 (3) 2.2 电路原理图制作 (3) 2.2.1 原理图环境设置 (4) 2.2.2 绘制原理图 (5) 2.2.3电气规则检查及网络表输出 (7) 2.3 原理图分析 (10) 2.3.1 晶体振荡器 (10) 2.3.2 分频器 (11) 2.3.3 计数器电路 (12) 2.3.4 显示和译码电路 (12) 2.3.5 电源电路 (13) 第三章电路板PCB设计 (14) 3.1 PCB设计规范 (14) 3.2 PCB设计流程 (17) 3.3 输出光绘文件 (21) 3.4 PCB制件作 (23) 心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28)

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

数字电子钟PCB制作

数字电子钟PCB制作 07电子信息工程(2)班 070306212 李武成 一.设计目的 Protel是20世纪90年代澳大利亚Protel Techmology公司研发的电子CAD软件,Protel 99SE将电路原理图编辑、电路仿真测试、PLD设计和PCB设计等功能融合在一起。由于其强大的功能和方便的操作,深受广大用户的欢迎,在中国小企业、公司应有极为普遍。 Protel 99是一个全面集成的电路设计软件,它具备强大便捷的编辑功能,为电子电路原理图和印制电路板的设计提供了良好的操作环境。本次课程设计通过了解熟悉Protel 99软件界面,进行数字电子钟电路原理图的设计、创建原理图元件、电路板的设计规划和网络表的载入、印刷电路板(PCB)的编辑、创建元件封装、报表生成和电路板输出。 二.画原理图 1.启动原理图设计系统 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。 2.设置图纸和环境 在进行原理图设计之前设置图纸的大小、方向及标题栏类型等。在Document Options 对话框中进行,执行菜单命令Design/Options即可。单击箭头按钮选择preference选项,打开原理图环境参数设置对话框,点击Schematic按钮进行设置。 3.放置元件 在原理图图纸上放置元件之前,需要先添加元件库,选中Browse Sch标签页,然后单击Add/Remove按钮,弹出Change Library File List,在Design Explorer99/Library/Sch 文件夹中选择元件库名称,单击添加和OK按钮。放置元件时选中所需的元件库,然后在元件列表框中选择相应的元件,单击Place放置元件。按空格键可使元件旋转,双击元件打开Part对话框,可以编辑元件属性。 4.原理图布线 执行菜单命令Place/Wire,光标变成十字形,在布线起点单击确定导线的起点,移动光标到终点的位置再单击确定导线终点,右击即可退出布线状态。 5.原理图的输出,下面是相关设计的原理图

电子时钟系统设计

《嵌入式系统》课程设计说明书 电子时钟系统 院部: 学生姓名: 指导教师:职称 专业: 班级: 学号:

湖南工学院嵌入式系统课程设计课题任务书 2.显示的时间为开发板当前的系统时间,显示的结果随着系统时间变化而变 I

摘要 嵌入式操作系统是一种支持嵌入式系统应用的操作系统软件,它是嵌入式系统( 包括硬、软件系统) 极为重要的组成部分,通常包括与硬件相关的底层驱动软件、系统内核、设备驱动接口、通信协议、图形界面、标准化浏览器等Browser 。嵌入式操作系统具有通用操作系统的基本特点,如能够有效管理越来越复杂的系统资源;能够把硬件虚拟化,使得开发人员从繁忙的驱动程序移植和维护中解脱出来;能够提供库函数、驱动程序、工具集以及应用程序。与通用操作系统相比较,嵌入式操作系统在系统实时高效性、硬件的相关依赖性、软件固态化以及应用的专用性等方面具有较为突出的特点。嵌入式技术已成为信息产业中发展最快、应用最广的计算机技术之一,并被广泛应用于网络通信、消费电子、医疗电子、工业控制和交通系统等领域。 本次设计采用QT程序开发框架开发的模拟时钟程序,使用Linux系统到嵌入式终端移植和交叉编译环境搭建,最终成功实现了在嵌入式终端的运行。 关键词:嵌入式系统;QT;模拟时钟;Linux系统

目录 1绪论 (1) 1.1 设计背景 (2) 1.2 设计目的和意义 (2) 2 嵌入式Linux系统 (2) 2.1 嵌入式Linux概念 (2) 2.2 嵌入式Linux组成 (2) 3 Qt工具 (3) 3.1 Qt简介 (3) 3.2 Qt优点 (3) 4 模拟时钟的设计 (4) 4.1 代码的编写 (4) 4.2 代码的调试与运行 (4) 5 模拟时钟到开发板的下载 (6) 5.1 交叉编译环境的构建 (7) 5.2 模拟时钟到开发板的下载运行 (7) 结论 (10) 参考文献 (11) 致谢 (12) 附录 (13)

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

数字钟时钟电路图

目录 前言:....................................................................... 错误!未定义书签。 1.设计目的 (3) 2.设计功能要求 (3) 3.电路设计11111111111111111111111111111111111111111111 (3) 3.1设计方案 (3) 3.2单元电路的设计 (4) 3.2.1主体电路部分 (4) 3.2.1.1振荡电路 (5) 3.2.1.2 计数电路 (9) 3.2.1.3 校时电路 (14) 3.2.1.4译码与显示电路 (16) 3.2.2扩展功功能电路的设计 (18) 3.2.2.1定时控制电路 (18) 3.2.2.2 仿广播电台正点报时电路 (20) 3.2.2.3自动报整点时数电路 (21) 3.2.2.4触摸报整点时数电路 (22) 4.调试 (23) 4.1主体电路部分 (23) 4.2 扩展电路部分 (25) 5.总结 (27) 致谢 (28) 参考文献 (29) 附录 (30)

1.设计目的 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展 2.设计功能要求 基本功能: (1)时的计时要求为“12翻1”,分和秒的计时要求为60进制 (2)准确计时,以数字形式显示时,分,秒的时间 (3)校正时间 扩展功能: (1)定时控制; (2)仿广播电台报时功能; (3)自动报整点时数; (4)触摸报整点时数; 3.电路设计 3.1设计方案 根据设计要求首先建立了一个多功能数字钟电路系统的组成框图,框图如图1所示。

相关文档
最新文档