5人表决器实验报告

5人表决器实验报告
5人表决器实验报告

课程设计

课程设计名称:EDA课程设计

专业班级:电子信息科学与技术

学生姓名: *

学号: *

指导教师: *

课程设计时间:2013-2-25~2013-3-8

电子信息科学与技术专业课程设计任务书

学生姓名?专业班级? 学号? 题目五人表决器

课题性质工程设计课题来源自拟课题指导教师? 同组姓名无

主要内容(1)学习使用EDA开发工具MAX+plusII。

(2)学习使用VHDL语言设计五人表决器。(3)熟悉五人表决的原理。

(4)对整个系统进行编译、综合、仿真和验证;

任务要求(1)根据设计题目要求编写相应程序代码。(2)对编写的VHDL程序代码进行编译和仿真。(3)利用实验箱完成硬件验证。

(4)总结设计内容,完成课程设计说明书。

参考文献[1] 焦素敏.EDA课程设计指导书.郑州:河南工业大学,2008

[2] 潘松,黄继业.EDA技术实用教程.北京:科学出版社,2002

[3] 王国栋,潘松等.VHDL实用教程.成都:电子科技大学出版社,2000

[4] 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,2003

[5]https://www.360docs.net/doc/272795891.html,/中国电子制作网网站

审查意见

指导教师签字:

教研室主任签字:年月日

1 设计任务及要求

(1)按所布置的题目要求,独立完成全过程;

(2)分模块层次化设计;

(3)功能模块的底层文件必须用VHDL语言设计,顶层文件可用VHDL语言设计,也可以用原理图设计;

(4)对整个系统进行编译、综合、仿真和验证;

(5)在CPLD/FPGA实验开发系统试验箱上进行硬件验证。

2设计原理及总体框图

表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

本设计就是利用芯片ACEX1K系列的EP1K30TC144-3,拨挡开关模块和LED 模块来实现一个简单的五人表决器的功能。拨挡开关模块中的键4~键8表示五个人,由5个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于3人时,则认为不同意。实验中用5个拨挡开关来表示五个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED(D8)表示,若表决的结果为同意,则D8被点亮,;否则,如果表决的结果为反对,则D8不会被点亮。同时用七段显示数码管来显示赞成的人数和反对的人数。

CLOCK9

CLOCK5

CLOCK2

CLOCK0

PIO8

D11D12PIO9

D13

PIO10D14

PIO11D15

PIO12PIO13D16

D6

D5

D4

D3

D2

D1

D7

D8

)(1

2345678实验电路结构图

NO.6

目标芯片

FPGA/CPLD 扬声器

S P E A K E R

PIO3-PIO0

PIO7-PIO4HEX

HEX PIO16

PIO13-PIO8PIO23

PIO22PIO21

PIO20

PIO19

PIO18

PIO17直接与7段显示器相接PIO46-PIO40

PIO38-PIO32

PIO30-PIO24PIO22-PIO16PIO46-PIO40 接 g, f, e, d, c, b, a

PIO38-PIO32 接 g, f, e, d, c, b, a

PIO30-PIO24 接 g, f, e, d, c, b, a 七段

PIO22-PIO16 接 g,f,e,d,c,b,a

键1

键2

键3

键4

键5

键6

键7

键8

电路原理图

程序流程图

N

Y

统计人数同意P ,不同意Q 判断P否大于2

D8点亮且数码管显示P D8熄灭且数码管显示Q

完毕 五个人开始投票表决

3 程序设计

VHDL程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY bjq IS

PORT(input:IN STD_LOGIC_VECTOR(4 DOWNTO 0);

y:OUT STD_LOGIC;

y1,y2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END;

ARCHITECTURE one OF bjq IS

SIGNAL q:STD_LOGIC;

SIGNAL q1,q2:STD_LOGIC_VECTOR(6 DOWNTO 0);

BEGIN

PROCESS(input)

VARIABLE cnt:INTEGER RANGE 0 TO 5;

BEGIN

cnt:=0;

FOR i IN 4 DOWNTO 0 LOOP

IF input(i)='1' THEN

cnt:=cnt+1;

END IF;

END LOOP;

CASE cnt IS

WHEN 0 => q<='0';q1<="0111111";q2<="1101101";

WHEN 1 => q<='0';q1<="0000110";q2<="1100110";

WHEN 2 => q<='0';q1<="1011011";q2<="1001111";

WHEN 3 => q<='1';q1<="1001111";q2<="1011011";

WHEN 4 => q<='1';q1<="1100110";q2<="0000110";

WHEN 5 => q<='1';q1<="1101101";q2<="0111111";

WHEN OTHERS => q<='Z';q1<="ZZZZZZZ";q2<="ZZZZZZZ";

END CASE;

y<=q;

y1<=q1;

y2<=q2;

END PROCESS;

END;

4 编译及仿真

程序的编译及仿真

5 硬件调试与结果分析

本设计就是利用芯片ACEX1K系列的EP1K30TC144-3,管脚分配如下:实验符号对应附录符号引脚号

Input0 PIO13 27

Input1 PIO12 26

Input2 PIO11 23

Input3 PIO10 22

Input4 PIO9 21

y10 PIO40 87

y11 PIO41 88

y12 PIO42 89

y13 PIO43 90

y14 PIO44 91

y15 PIO45 92

y16 PIO46 95

y PIO23 39

y20 PIO32 73

y21 PIO33 78

y22 PIO34 79

y23 PIO35 80

y24 PIO36 81

y25 PIO37 82

y26 PIO38 83

底层文件的编译及仿真没有遇到错误,倒是顶层文件的设计,编译,方正遇到了一些错误,不过经过我的细心查找都得到了圆满的解答,最值得引起的注意就是引脚的锁定,要注意锁定引脚的顺序,输入的引脚锁定比较随意,数码管的引脚锁定容不得丁点儿错误,第一次我就误将引脚的顺序逆序锁定了,这样在硬件调试的时候数码管显示的数字就和输入不一样。

当五个人input0、input1、input2、input3、input4投票结果为“11011”,则数码管8的输入是“1100110”显示数字“4”,数码管7的输入是“0000110”显示数字“1”,此时LED灯亮,表示投票通过;当五个人投票结果是“01001”,则数码管8的输入是“1011011”显示数字“2”,数码管7的输入是“1001111”显示数字“3”,此时LED灯不亮,表示投票不通过。以此类推,由仿真图可以看出。

心得体会

此次实验是个人单独设计制作,比较难,但是课下可以和同学讨论,经过一星期的紧张工作,最后完成了我的设计任务——五人表决器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

参考文献

[1] 焦素敏.EDA课程设计指导书.郑州:河南工业大学,2008

[2] 潘松,黄继业.EDA技术实用教程.北京:科学出版社,2002

[3] 王国栋,潘松等.VHDL实用教程.成都:电子科技大学出版社,2000

[4] 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,2003

[5]https://www.360docs.net/doc/272795891.html,/ 中国电子制作网网站

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

八路抢答器设计总结,心得体会

设计总结 1、设计思路是整个设计的灵魂拿下每个课题能有一个非常清晰的设计思路是至关重要的。只有对课题的充分理解,对各种器件的熟练掌握,勾画出基本的设计图是成功的关键,必须多花时间在设计上才能为后续工作提,供更扎实的基础。翻阅各种资料,上网查询填补所需知识的空白是必要的。 2、焊接制作必须精益求精焊接必须精益求精,一丝不苟,一点的差错都可能导致实验结果错误,因此必须准确无误还要工整,这样才能在调试中能比较轻松进行,也是整个电路可看性更好。 3、调试调试工作是个精细工作。在调试过程中,有些问题是芯片本身损坏引起的,也有些是因为焊接问题引起的等因此排查过程需要特别有耐心,通过对芯片功能的检验,对焊点的检查最后检查出问题所在。当最后解决问题时,电路的正确是非常振奋,也很有成就感和满足感。 4、建议设计的作品主要是用cd4511 系列集成芯片来完成的,在焊接的过程中由于芯片的引脚过多,布线工作不是很方便。有时候还因为某一跟线没有焊牢,造成电路的不稳定,这些都是有待改进的。 5、不足实验效率低,焊接水平不足导致电路稳定性不高,布线比较混乱,这些都有待提高。总之,在设计过程中学到了许多。作为现代的大学生,如果仅停留在以往的层次上,是远远跟不上时代的步伐,也无法使自己立足在竞争如此激烈的社会里,通过此次实习,看到了自己的水平和差距,学要在今后的学习中又进一步的提高。 心得体会 通过本次课程设计,把我们在课堂上学到的数字电路知识运用到实际当中。如各种常见芯片的功能,各种组合逻辑电路和时序逻辑电路的设计,在此次设计中,当然也遇到了许多问题,毕竟这是第一次设计一个很实际的硬件的器件。在进行一个综合性的硬件设计时,要全面考虑问题,如想用其他信号来控制一个信号,就要考虑到和这个信号直接或间接关系的信号,必须是最重要相关的信号,然后用真值表来解决他们的关系,通过门电路来实现。这一个星期的课程设计,让我真正理解了书本上知识,也让我知道我们课本上的知识在实际中怎么应用,理论联系实际,。通过此次设计,我对理论知识的学习有了很大的兴趣,现在我可以主动的去学习,我明白自己该学习那个方面,重点是什么。我也掌握的了在理论中遇到问题,应该怎样去解决,在实际中遇到迷团应该怎样去检查调试。虽然最后我没调试出我们想要的结果,但是经过这次课程设计让我们更巩固了我们的专业知识和焊接技能。在这次设计过程中还了解到在设计的时候不仅是设计好,更重要的是想方设法在功能实现的同时降低成本。

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

单片机七人表决器

一.方案设计 1.设计题目:七人表决器。 2.实训要求 利用AT89S51单片机设计并制作会议表决计票器电路。具体要求如下: 1、可供57个人进行表决,每个人有一个“同意”和一个“反对”按键,表决时两个键先按下的一个有效,若再按另一个键将清楚前一次按键的效能;每次表决每个按键只能是第一次按的有效,多按的次数无效,除非前一次按键的效能已被清楚或没有生效。 2、会议主持人可利用按键控制表决开始和结束;开始表决后,点亮黄色指示灯,表示可以进行表决,同时清楚原来的表决结果;结束表决后显示表决结果;“同意”多于“反对”点亮绿色指示灯,反之点亮红色指示灯。 3、在实现上述功能的基础上增加“同意”数和“反对”数的显示。 二.硬件电路设计和原理。 1.硬件设计思路: 设计题目为5—7人表决器,题目选为七人表决器,七个按键表示同意,七个按键表示反对,各按键与单片机的输入端口相连,因此可选用单片机的四个I/O口,因为在单片机内部P1和P2都有上啦电阻,而P0没有上啦电阻,要在外部加上一个上拉电阻,为了简化电路,把P1和P2口选为按键同意和反对的输入端,因为表决考试和结束要

利用主持人按键来控制,我采用外部中断0和外部中断一来控制其开始和结束;设计要求中需要四张灯,分别为2个红灯,一个黄灯,一个绿灯,其中三个灯用于输出显示,可用单片机的I/O口,另外一个红灯作为电源灯来显示,判断是否通电,因为P1口和P2口用做按键的同意和反对,把P2剩余的I/O口与三个灯连接,分别连接在P2.3,P2.4,P2.5口,因为P0口是低电平有效所以我的P0口与LED现实器相连用于显示反对与同意的人数的多少,采用动态显示的方式,为了保证两个显示器不再相同时间显示相同的数字,所以LED显示器的公共端受另外一组信号的控制,采用延时的方式让它们分别显示出来。 2.元件参数确定: 设计中需要四盏灯,分别为两个红灯,一个绿灯,一个黄灯,P0口的输出端输出高电平一般为5伏左右,最大电流为五毫安,因此必须加上限流电阻,我选用的是470欧的电阻,然后将单片机的最小系统加入此次的电路中。 3.元件清单: 14个开关用于7人同意与反对按键,另外两个开关用做控制投票开始和结束的总开关。 电容:用于单片机的最小系统。 发光二极管:用于表示投票开始与结束,和最后同意与反对票数的对比情况。 电阻:用于限流。

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

八路抢答器实验报告

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业: 班级: 学号: 指导教师: 同组成员: 时间:

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

七人表决器

学院名称电子技术基础课程设计报告 七人表决器电路设计报告 学生姓名__ _____ 学号 专业 指导教师 系别__ _ 年月日

一、评语(根据学生答辩情况及其报告质量综合评定)。 二、评分 指导教师签字: 年月日

摘要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,相应灯的明亮即显示出表决结果。在七人表决器中七个人分别用手指拨动开关 SW1、SW2、SW3、SW4、SW5、SW6、SW7 来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方)不同意就把自己的指拨开关拨到低电平(下方)。表决结果用 LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。根据设计与制作的主要内容按照设计题目,以及所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1电路的总体原理框图 (2) 2.2元件选择 (2) 3 各模块设计 (3) 3.1投票按键部分电路设计 (3) 3.2输入转换部分及控制电路 (3) 3.3票数统计部分及控制电路 (4) 3.4票据分析与结果显示分 (5) 3.5总体电路 (7) 4 软件仿真 (8) 5 课程设计体会 (9) 参考文献(按照标准格式) (10)

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

七人表决器

EDA技术课程设计设计题目:七人表决器设计 院系:电气信息学院 专业年级:电子信息工程2010级 学生姓名:XXX 学号:XXXXXXX 指导教师:XXXXX 时间:2013年1月13日

用VHDL 设计七人表决器 一、实验目的 1、熟悉 VHDL 的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 二、实验原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则 LED 被点亮;否则,如果表决的结果为反对,则 LED 不会被点亮。同时,数码管上显示通过的票数。 三、实验内容 本实验就是利用实验系统中的拨动开关模块和LED模 块以及数码管模块来实现一个简单的七人表决器的功能。 拨动开关模块中的K1~K7表示七个人,当拨动开关输入为 ‘1’时,表示对应的人投同意票,否则当拨动开关输入为

‘0’时,表示对应的人投反对票;LED 模块中 LED1 表示七人表决的结果,当 LED1 点亮时,表示此行为通过表决;否则当 LED1 熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 四、硬件系统组成框图 五、VHDL程序实现 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bjq7 is

八路抢答器实验报告

电子工艺实习报告 1.实验内容: 学习电子工艺理论,包括焊接技术、常用器件和八路抢答器原理等等; 在练习板上进行焊接练习,包括至少四十个电阻(包括立式和卧式)、四十根导线(包括硬线和软线);根据所学内容和所给材料焊接八路抢答器并验收。 2.实验目的: 初步了解和学习电子工艺的相关知识理论,通过实际焊接提高动手能力,加深对知识的理解,为以后的专业学习打好基础。 3.焊接技术: ·电烙铁分为外热式、内热式、恒温式和吸锡器电烙铁,握法分为正握法、反握法、握笔法三种。镀锡防止氧化,使用后保持电烙铁清洁挂 锡,以防再次加热时出现氧化。 ·焊料:易熔的金属合金又称焊锡丝,特点是熔点比被焊物的熔点低,450度以上称硬焊料,450度以下称软焊料。作用是将被焊物连接在 一起。 ·焊剂包括松香、焊油、镪水等,作用是清除被焊物表面氧化物及杂质,保证焊锡及被焊物之间发生合金反应。 ·焊接工艺要求:焊接的机械强度要足够;焊接可靠,保证导电性能良好;焊点表面要光滑清洁,不能出现焊点表面粗糙、拉尖、毛刺等现 象。 ·操作要领:焊接时烙铁与引线、印制板、铜箔之间的接触位置关系; 焊接的温度和时间要掌握好;焊接时被焊物要固定;焊料使用要适量,将焊锡丝和电烙铁同时作用于被焊物两端,当焊料的扩散范围达到要 求后,迅速拿开烙铁和焊锡丝,拿开焊锡丝的时间不得迟于拿开烙铁 的时间;焊点重焊时必须与上次的焊锡一同溶化,并溶为一体时才能 把电烙铁移开;剪掉多余引线。 ·拆焊:依据情况分为用烙铁直接解焊、采用专用工具、采用吸锡烙铁或吸锡器、利用铜丝编织的屏蔽线电缆或较粗的多股导线用为吸锡材 料等方法。 4.对元器件焊接要求: 遵循先小后大,先低后高,先轻后重,先内后外的原则;电阻标记方向一致、高低一致;电容标记方向要容易看,先焊无极性电容再焊有极性的;二极管正负极性一致、高低一致;集成芯片先弄清引脚顺序,再焊对角然后依次从左到右从上到下焊起,时间不超过3秒。 5.元器件的装配工艺及绘制电路板图: ·元器件的插装方法分为卧式和立式; ·布局布线:布置均匀,密度一致,横平竖直,不许斜排或交叉重排,避免相互干扰; ·上下级输出输入要紧接。 6.工业生产焊接技术:包括浸焊、波峰焊、再流焊。 7.焊接技术的发展。 8.元器件介绍:

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

八路抢答器实验报告

八路抢答器设计与制作 一、电路功能 1.主持人控制抢答器工作。 2.抢答有效时间为主持人按下按键后5秒内,其他时间按动抢答键无效。 3.抢答选手编号为0、1、2、3、4、5、6、7。抢答开始后,若五秒内有人抢答,则由LED数码管显示最先抢答选手编号,否则无显示。 4.抢答开始后由蜂鸣器发出5声1秒的提示音,若在5秒内有人抢答,蜂鸣器立刻停止提示音。并显示抢答选手编号。 二、电路基本参数 输入电压Vcc=5v 三、电路原理框图 图3-1 八路抢答器组成电路 四、设计要求 5.有八个抢答按键,一个主持人控制按键。 6.抢答有效时间为主持人按下按键后5秒内,其他时间按动抢答键无效。 7.抢答选手编号为0、1、2、3、4、5、6、7。抢答开始后,若五秒内有人抢答,则由LED数码管显示最先抢答选手编号,否则无显示。 8.抢答开始后由蜂鸣器发出5声1秒的提示音,若在5秒内有人抢答,蜂鸣器立刻停止提示音。

五、 电路原理图及工作原理介绍 电路原理图如图2-1所示。 图2-1八路抢答器原理图 图中70K K -为8个抢答按键。74LS148为8线/3线优先编码器,其逻辑功能如表2-1所示。8路输入信号70D D -以及编码输出信号70A A -均为负逻辑。EI 为使能控制端,低电平有效,当EI=0时,正常编码,否则所有输出端均为高电平。当EI=0时,且70D D -有输出时,0s =G ,否则1s =G ,可见GS 为低电平时74LS148正常编码且有输入。当EI=0时,且70D D -无输入时,EO=0,可见EO 为低电平时表示74LS148正常编码且无输入。 74LS279为4RS 触发器,输入信号低电平有效。其中,第一和第三RS 触发器有两个置1端。看8K 为主持人控制键,按下8K 将第一至第三RS 触发器复位,将第四RS 触发器置1。在正常抢答期间,74LS279作为锁存器,将编码输出70A A -和GS 锁存,其中02A A -反相输出,从而将负逻辑编码变为正逻辑,GS 同相输出。最后,编码信号经7段显示译码器74LS48译码由LED 显示抢答选手编号。74LS48内部有2K 上拉电阻,可直接驱动共阴LED ,不需要串联限流电阻。 由555定时器构成多谐振荡电路,震荡周期S C R R T 1)(7.011110≈+=,占空比 %7.66211 1011 10≈++= R R R R D 。该信号既是倒计数电路的时钟脉冲,又是蜂鸣器的发声的 定时脉冲。由74LS192构成5秒倒计时电路。主持人按下8K 时,计数器置为6,然后开始倒计数,经6个脉冲后,计数值为0,以后保持0不变,除非主持人再次按下8K 置数,因为计数器最高输出D Q 端与异步清零端CLR 相连,当计数器为0时若继续倒计数,则D Q =1,使计数器清零。 主持人按下按键8K 复位后,计数器输出为6,前5个计数脉冲到来后,计数器输出分别为5、4、3、2、1,或门1G 输出高电平,若无人抢答,则与非门2G 打开,时钟

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

七人表决器实验

3.3实验三七人表决器设计 3.3.1实验目的 1、掌握用QuartusII软件设计基本数字系统流程及注意事项。 2、进一步熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法。 3、掌握分层设计的方法和注意事项 4、在实验报告中,总结数字系统设计步骤及注意事项。 3.3.2实验内容 基于QuartusII软件及VHDL语言实现七人表决器。当参与表决的7人中有4个或4个以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过,并显示赞成和反对的人数。用7个开关作为表决器的7个输入变量,数码管显示人数,LED灯显示是否通过。 本实验4学时。 3.3.3实验仪器 ZY11EDA13BE型实验箱。 3.3.4实验原理 分析实验要求,七人表决器系统主要由两个模块构成:投票计数模块和数码管显示模块。 一、建立项目 (1)新建文件夹。路径及文件名中不可出现汉字。 (2)新建项目。一个数字系统可以由多个模块构成,使所有模块连接在一起的总文件叫做顶层文件,只有顶层文件名可以且必须与项目名相同。项目取名为bjq7。 (3)选择芯片

二、建立文件 首先,建立各个VHDL功能模块。 1.投票计数模块。 (1)新建VHDL文件 编辑VHDL程序。投票计数模块输入为七个电平开关input,输出为同意的人数agree,反对的人数disagree,是否通过指示灯y,程序清单如下: library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity BJQ is port(input:in std_logic_vector(6downto0);七个输入开关agree:out std_logic_vector(3downto0);同意的人数 disagree:out std_logic_vector(3downto0);不同意的人数 y:out std_logic);是否通过标志 end; architecture one of BJQ is begin process(input) variable cnt:integer range0to7; variable cnt0:integer range0to7; begin cnt:=0; for i in6downto0loop if input(i)='1'then cnt:=cnt+1; end if; end loop; cnt0:=7-cnt; if cnt>3then y<='0'; else y<='1'; end if; case cnt is when0=>agree<="0000"; when1=>agree<="0001"; when2=>agree<="0010"; when3=>agree<="0011"; when4=>agree<="0100"; when5=>agree<="0101"; when6=>agree<="0110"; when7=>agree<="0111"; when others=>agree<="0000"; end case; case cnt0is when0=>disagree<="0000"; when1=>disagree<="0001"; when2=>disagree<="0010"; when3=>disagree<="0011"; when4=>disagree<="0100"; when5=>disagree<="0101"; when6=>disagree<="0110"; when7=>disagree<="0111";

课程设计试验报告三人表决器

武汉纺织大学《数字逻辑》课程设计报告 题目:三裁判表决器 院系:数学与计算机学院 专业班级:计科094 学号:0904681223 学生姓名:李勤 指导教师:朱勇 2011年 5 月20 日

一、 引言 通过对传统数字电路的设计,掌握对数字逻辑设计概念的熟悉。 二、系统介绍: 主要仪器是TOS-2数字电路实验系统。选用的芯片是74LS151 8-1数据选择器。通过设计好的逻辑表达式,在TOS--2数字电路上选择好个个需要连入的拐脚,进行连线。实现三人表决器的功能。 三、设计任务及设计原理: 引脚图 功能表 设计有三个变量输入A ,B C ,二个输出 W ,F,其中F=W , 逻辑表达式: F=ABC C AB C B A BC A +++。A,B,C 通过三个开关相接,把D0---D7设置好之后, 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 6 7 8V CC D 4 D 5 D 6 D 7 A 0 A 1 A 2D 3 D 2 D 1 D 0 Y Y S GND 输 入输 出D A 2 A 1 A 0 S Y Y × × × × 1D 0 0 0 0 0 D 1 0 0 1 0 D 2 0 1 0 0D 3 0 1 1 0D 4 1 0 0 0D 5 1 0 1 0D 6 1 1 0 0D 7 1 1 1 00 1D 0 0D D 1 1D D 2 2D D 3 3D D 4 4D D 5 5D D 6 6D D 7 7D

就可以通过调节开关来输入。输出接到一个LED灯,如果通过,那么灯就亮,否则的话,灭。 74LS151 0 G A A B B C C D0 D1 Y Y 0 D3 D3 W D4 1 D5 D6 D7 方法之一 四、代码清单:(机房答辩,提交代码) 只需要连线,无代码。 五、程序调试心得体会: 第一次线连接好之后,首先试验的是逻辑功能的正确性。用了两个LED灯,来作为输出,三个开关组合成A,B,C的八种状态。确保正确性之后,在进行的验证三人表决器。试验顺利,没有遇到困难。 六、参考文献: [1] 朱勇,数字逻辑,中国铁道出版社,2007.12 [2] 夏宇闻,Verilog DHL 入门,北京航空大学出版社,2007.5 七、致谢:感谢同学袁盼的一起合作,在连线过程中遇到问题时,一起商量。

数字电路3人抢答器实验报告

《数字电路与逻辑课程设计》报告 (本科) 题目三人抢答器设计 专业网络工程 班级 1305022 学号 11 姓名牟黎明评定成绩 指导教师李小平、易兴兵 完成时间 2015年 6月1日----2015年6月5日 电子工程学院 二零一五年五月

一、实习目的: 1. 数字电子技术知识的综合应用,包含: (1)门电路的应用 (2)编码器的应用 (3)JK触发器的应用 (4)显示译码器的应用 (5)七段数码显示器的应用 2. 学习电路安装图的绘制方法。 3. 学习电路的调试方法。 二、实习设备及实验器件清单: 实验器件: 1.双下降沿JK型触发器74LS112 2个 2.三3输入与非门74LS10 2个 3.四2输入与非门74LS00 2个 4.4线-七段译码器/驱动器74LS48 1个 5.LED共阴极显示器AR547 1个 6.触发开关 5个 7.10K电阻 5个 8.1K电阻 3个 9.铜导线若干 10.锡焊丝若干

实验工具: 1.电烙铁每组一个 2.剪刀每组一把 3.镊子每组一把 4.学生电源每两组一个 5.图纸每组一张

三、实习内容 1. 原理方框图 2. 电路原理图 SW K1K2K3抢答信号接收抢答信号识别锁存电路抢答成功提示抢答成功座位显示 00SW1门F4F5

3. 抢答流程图 四、阐述电路工作原理。 当主持人按动复位开关SW对前一次的记录进行清除,座位显示器显示“0”,进入抢答准备阶段,但此时选手无法抢答(抢答无效,信号被封锁)。 当主持人按动开关SW1时,进入抢答时段,锁存电路输出高电平作用于触发器1、2、3的JK端。抢答信号(K1、K2、K3)以负脉冲形式作用于JK触发器时钟端,最早抢入的输入信号使该电路触发器最先翻转,输出的抢答信号一路经门F4、F5以下降沿作用于锁存电路(JK 触发器,工作于置“0”状态)时钟端,输出低电平使三路JK触发器的工作状态由“翻转”变为“保持”,后续的抢答信号不能使其他触发器产生翻转。这样就封锁了后到的信号。输出的抢答信号同时以低电平驱动座位提示灯。 三路JK触发器输出的组合信号经门电路F1、F2、F3、F6、F7、组成的识别电路,驱动座位显示电路,以数字显示的方式显示抢答成功选手座位号。 五、三人抢答器安装图绘图纸的设计。

四人表决器电路设计

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

相关文档
最新文档