数字电路的故障测试方法

数字电路的故障测试方法
数字电路的故障测试方法

数字电路的故障测试方法

【摘要】本文主要结合数字电路常见的几种故障,找出产生故障的原因,并在此基础上对数字电路故障测试进行简要探讨,希望对电子产品的研发具有一定的促进意义。

【关键词】数字电路;故障;测试

1.常见的故障

1.1永久故障

1.1.1固体电平故障

如果电路某处逻辑电平始终保持不变,则该故障就是固体电平故障,例如,接地故障就是典型的固体电平故障,其故障点的电平始终保持为0。

1.1.2固定开路故障

该故障常常发生在CMOS电子线路中,当CMOS电子线路中的输入管没有连通其它路而引起悬空或者栅极引线而发生断开现象,此时CMOS门电路的输出端的电阻是非常大的,即会发生短路,这样的故障就是开路故障。因为在CMOS 门电路中输入电阻和输出电阻都是相当大的,所以,输出电平在某段时间内是不会发生变化的,这是由于门电路输出与下级门电路之间的分布电容有存储电荷的作用。

1.1.3桥接故障

由两根或者两根以上的信号互相短路而引起的故障就叫做桥接故障,引发该类故障的原因有:印制电路的焊接不小心、裸线部分太长等等,一般而言,桥接故障分为如下几种类型:(1)由于输入信号线间的桥接引起的输入端桥接现象;(2)输入端和输出端相互连接引起的反馈桥接。桥接故障会使电子线路的逻辑功能发生很大的变化。

1.2间歇故障

间歇故障的发生具有偶然性,在故障发生的时候很容易引起电路相关功能的出错,但是故障一旦消失,功能就马上恢复了。时有时无是间歇故障的表现形式。如果是虚焊、引线松动等因素造成的间歇故障,则应该要通过人工修理来消除故障,如果是电磁干扰因素造成的间歇故障,则只要对其屏蔽就可以了。

2.出现故障的主要原因

数字电路试验指导书

第一篇数字电路实验指导书 实验一集成逻辑门的功能测试与数字箱的使用 一、实验目的 1、了解数字实验箱的原理,掌握其使用方法 2、掌握基本门电路逻辑功能的测试方法 3、了解TTL和CMOS器件的使用特点 二、实验一起及实验器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件: 74LS00 1片CD4001 1片 74LS86 1片CD4011 1片 三、实验任务 (一)数字实验箱的使用 1、用500型万用表分别测出固定直流稳压源的出去电压值 2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观 察单次脉冲前后沿的变化 3、用示波器测出连续冲源的频率范围及幅度Vp-p值 4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试 1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别 对其逻辑功能进行静态测试 2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 四、预习要求 1、复习数字试验箱的组成和工作原理 2、复习TTl和CMOS电路的命名,分别及使用规则 3、认真查阅实验器件的功能表和管脚图 4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路 实验二集成逻辑门的参数测试 一、实验目的 1、熟悉集成逻辑门主要参数的意义 2、掌握集成逻辑门主要参数的测试方法 3、了解TTL器件和CMOS器件的使用特点 二、实验仪器与器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件:

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验:基本逻辑门

数字电路实验:基本逻辑门 一、实验目的 研究TTL 门电路的性能及测试方法。 二、实验仪器 (1) 双线示波器 (2)数字万用表 (3) TES-1电子技术学习机 三、实验内容 实验10.1 TTL 与非门7400逻辑功能的测试 1. 将输出Y 接发光二极管(Y=1时二极管亮;否则灭),改变A 、B 的电平值,记录实验结果,并将该结果列成真值表形式。 2. 在A 端加入连续脉冲(频率f=1Hz ),将输出Y 接发光二极管。当B 端分别接+5伏和0伏时,观察Y 端的输出变化,验证逻辑“0”对与非门的封锁作用。 A B Y 图10.1 实验10.2 TTL 与非门7400传输延迟时间的测量 按图10.2接线,输入端接1MHz 连续脉冲,通过用示波器观察其输入、输出波形相位差的办法,测量出四个与非门的累计传输延迟时间。 实验10.3 TTL 与非门7400电压传输特性的测定 按图10.3接线。 U i 接直流稳压电源,调节U i 使之在0~5V 范围内变化(注意:U i 值不能≥6V ,否则将损坏芯片),测出U o 随U i 变化的值,将它们填入表10.1中,并用曲线表示之,试粗糙确定U T 值。 u i u o 图10.2 +5V Uo

实验10.4 TTL 与非门7400输入端特性测试 按图10.4接线。 改变B 端所接的电阻值,分别测量并纪录相应的电压U B 及U o ,将结果填入表10.2中。 四、总结要求 (1) 根据表21.1,画出与非门7400的电压传输曲线。 (2) 根据表21.2,总结与非门7400的输入端特性。 表10.1 表10.2 +5V Uo 图10.4

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验实验八

学生实验报告 一、实验目的和任务 1.熟悉555型集成时基电路的电路结构、工作原理及其特点。 2.掌握555型集成时基电路的基本应用。 二、实验原理介绍 555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。它的内部电压标准使用了三个5K的电阻,故取名555电路。其电路类型有双极型和CMOS型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器,556和7556是双定时器。双极型的电压是+5V~+15V,最大负载电流可达200mA,CMOS型的电源电压是+3V~+18V,最大负载电流在4mA以下。

图8-1 555定时器内部框图 1、555电路的工作原理 555电路的内部电路方框图如图8-1所示。它含有两个电压比较器,一个基本RS触发器,一个放电开关Td,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使低电平比较器Vr1反相输入端和高电平比较器Vr2的同相输入端的参考电平为2/3VCC和1/3VCC。Vr1和Vr2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过2/3VCC时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于1/3VCC时,触发器置位,555的3脚输出高电平,同时充电,开关管截止。 R是异步置零端,当其为0时,555输出低电平。平时该端开路或接VCC。Vro是控制电压D 端(5脚),平时输出2/3VCC作为比较器Vr1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。Td为放电管,当Td导通时,将给接于脚7的电容器提供低阻放电电路。 2、555定时器的典型应用 (1)构成单稳态触发器 图8-2 555构成单稳态触发器 图8-3 单稳态触发器波形图 上图8-2为由555定时器和外接定时元件R、C构成的单稳态触发器。D为钳位二极管,稳态

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路实验

目录 实验一TTL集成逻辑与非门参数的测试 (2) 实验二组合逻辑电路 (4) 实验三编码器和译码器 (6) 实验四触发器及其应用 (8) 实验五同步计数器测试与扩展 (10) 实验六任意进制计数器 (12) 实验七移位寄存器功能测试及应用 (14) 实验八555集成定时器及应用 (15)

实验一 TTL 集成逻辑与非门参数的测试 一 .实验目的 1、 了解TTL 与非门各参数的意义。 2、 掌握TTL 集成与非门主要参数的测试方法。 3、 掌握TTL 器件的使用规则。 二.实验设备与器材 1、数字逻辑实验箱 1台 2、万用电表 1台 3、集成四-2输入与非门74LS00 1只 三. 实验内容及步骤 1、验证与非门74LS00的逻辑功能 将与非门的两输入端分别接到数字逻辑实验箱的逻辑开关k 1和k 2上,输出端接逻辑指示灯和万用电表上。按表1逐项验证其逻辑功能,测量结果填入表1–1中。 表1 与非门逻辑功能的测试 2、电压传输特性测试 按下图图1完成连线。调节RP,改变Vi 值,按表2的要求逐个设定电压值,读出每个设定值对应的输出值,并描绘电压传输特性曲线。 图1 表2 与非门的电压传输特性 Rp 4.7K Vi (V)

3、测试与非门部分参数测试 4.实验思考题 (1)门电路的带负载能力是什么? (2)测量扇出系数N O的原理是什么?

实验二 组合逻辑电路 一.实验目的 1、掌握用小规模集成电路设计组合逻辑电路的方法。 2、用实验验证所设计电路的逻辑功能。 二、实验设备及器件 1、逻辑实验箱 1个 2、万用表 1个 3、集成芯片74LS283 、74LS00、74LS86 、74LS51 各1片 三、实验内容及步骤 1、集成全加器74LS283功能测试 按表1数据要求,记录测试结果 其中上图: 【1】 C 0与A 4A 3A 2A 1以及 B 4B 3B 2B 1分别接9个不同逻辑开关 【2】 C 4与输出端∑4∑3∑2∑1 分别接5个不同发光二极管 2、门电路74LS86、、74LS00组成半加器 图中A 、B 为数据输入端,S 、C 分别为求和信号、进位信号输出。 B1B2B3B4C0

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验八同步时序电路逻辑的设计

实验报告 课程名称:数字电路实验第8 次实验实验名称:同步时序电路逻辑设计 实验时间:2012 年 5 月29 日 实验地点:组号 学号: 姓名: 指导教师:评定成绩:

《数字电路与系统设计》实验指导书 1 一、实验目的: 1.掌握同步时序电路逻辑设计过程。 2.掌握实验测试所设计电路的逻辑功能。 3.学习EDA软件的使用。 二、实验仪器: 三、实验原理: 同步时序电路逻辑设计过程方框图如图8-1所示。

《数字电路与系统设计》实验指导书 2 图8-1 其主要步骤有: 1.确定状态转移图或状态转移表 根据设计要求写出状态说明,列出状态转移图或状态转移表,这是整个逻辑设计中最困难的一步,设计者必须对所需要解决的问题有较深入的理解,并且掌握一定的设计经验和技巧,才能描绘出一个完整的、较简单的状态转移图或状态转移表。 2.状态化简 将原始状态转移图或原始状态转移表中的多余状态消去,以得到最简状态转移图或状态转移表,这样所需的元器件也最少。 3.状态分配 这是用二进制码对状态进行编码的过程,状态数确定以后,电路的记忆元件数目也确定了,但是状态分配方式不同也会影响电路的复杂程度。状态分配是否合理需经过实践检验,因此往往需要用不同的编码进行尝试,以确定最合理的方案。 4.选择触发器 通常可以根据实验室所提供的触发器类型,选定一种触发器来进行设计,因为同步时序电路触发器状态更新与时钟脉冲同步,所以在设计时应尽量采用同一类型的触发器。选定触发器后,则可根据状态转移真值表和触发器的真值表作出触发器的控制输入函数的卡诺图,然后求得各触发器的控制输入方程和电路的输出方程。 5.排除孤立状态 理论上完成电路的设计后,还需检查电路有否未指定状态,若有未指定状态,则必须检查未指定状态是否有孤立状态,即无循环状态,如果未指定状态中有孤立状态存在,应采取措施排除,以保证电路具有自启动性能。 经过上述设计过程,画出电路图,最后还必须用实验方法对电路的逻辑功能进行验证,如有问题,再作必要的修改。时序电路的功能测试可以用静态和动态两种方法进行,静态测试由逻辑开关或数据开关提供输入信号,测试各级输出状态随输入信号变化的情况,可用指示灯观察,用状态转移真值表或功能表来描述。动态测试是在方波信号的作用下,确定各输出端输出信号与输入信号之间的时序图,可用示波器观察波形。 在实际的逻辑电路设计中,以上的设计过程往往不能一次性通过,要反复经过许多次仿真和调试,才能符合设计要求,既费时费力,又提高了产品的成本,而且,随着电路的复杂化,受工作场所及仪器设备等因素的限制,许多试验不能进行。为了解决这些问题,很多国内外的电子设计公司于20世纪80年代末、90年代初,推出了专门用于电子线路仿真和设计

相关文档
最新文档