2013-2017年北京邮电大学数字媒体技术专业毕业生就业大数据报告

2013-2017年北京邮电大学数字媒体技术专业毕业生就业大数据报告
2013-2017年北京邮电大学数字媒体技术专业毕业生就业大数据报告

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

北邮数字逻辑期中试题及参考答案全新

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门 16.逻辑表达式[()]F AB C D E B =++?的对偶式为 B 。

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

数字逻辑课程设计(定时器)

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

北京邮电大学《数字信号处理》课程教学大纲

《数字信号处理》课程教学大纲 一、课程编号:1100020 二、课程名称:数字信号处理 ( 64学时) Digital Signal Processing 三、课程教学目的 数字信号处理是现代信息处理和传输的基础课程之一,已经成为信号和信息处理、通信和电子、计算机科学和技术等专业的学生需要学习和掌握的基本知识。 本课程以离散时间信号与系统作为对象,在介绍经典理论的基础上,适当引入了现代信号处理的理论与方法以及Matlab仿真分析软件。通过本课程的学习,使得学生能够掌握确定性离散时间信号的频谱分析原理及快速实现方法,数字滤波器的设计及实现方法。使学生能够利用计算机技术来进行数字信号的处理,并根据实际需要分析、设计数字滤波系统。 本课程是进一步学习数字通信、图像处理、随机数字信号处理、无线通信、多媒体通信等专业课程的先修课程。 四、课程教学基本要求 1.掌握离散时间信号和系统的基本标识方法 2.掌握离散时间系统的基本特性、Z变换以及离散时间信号的傅立叶变换(DTFT) 3.掌握离散傅立叶变换(DFT)以及离散傅立叶变换的快速算法(FFT) 4.掌握数字滤波器的设计方法和结构 5.了解多速率信号处理的基本内容 五、教学内容及学时分配(含实验) 理论教学(56学时) 1.绪论2学时数字信号处理的特点、实现和应用 Matlab简介 2.离散时间系统的基本特性及流图10学时抽样与重建 离散系统及其普遍关系 信号流图及Mason公式 离散时间信号的傅立叶变换 Z变换及Z反变换(留数法)

Z变换与拉普拉斯、傅立叶变换的关系 离散系统的频域分析 3.离散傅立叶变换及其快速实现14学时DFS的定义及性质 DFT的定义、性质及应用 基2时间抽选法FFT 基2频率抽选法FFT 基4时间抽选法FFT IDFT的快速算法 FFT应用(线性卷积的快速计算、CZT变换) 4.IIR数字滤波器的设计和实现12学时滤波器概述 模拟滤波器的设计 模拟滤波器的数字仿真 冲激响应不变法和双线性变换法的设计 IIR滤波器的频率变换设计 IIR数字滤波器的计算机辅助设计 IIR 滤波器的实现结构 5.FIR数字滤波器的设计10学时线性相位FIR滤波器的条件和特性概述 窗函数法 频率取样法 FIR数字滤波器的优化设计 FIR数字滤波器的实现结构 6.多速率信号的处理基础8学时抽取和内插的时域和变换域描述 抽取滤波器和内插滤波器 多相分解 正交镜像滤波器组 双通道滤波器组 实验教学(8学时)

北邮数字图像处理作业

信息与通信工程学院 数字图像处理实验报告 班级: 姓名: 学号:

彩色图像处理 一.实验目的 1.考虑下列500*500的RGB彩色图像,框的颜色如图所示,假定将该图像转换到HSI空间,用25*25的平均模板模糊H分量图像,再转换回RGB空间,会看到什么结果?(b)重复(a),只是这次处理的是S分量。要求做实验,并简单分析结果 2.对原始图像 Beauty.jpg进行彩色图像处理,使以下问题得到改善: (1)整个画面光线偏暗 (2)画面在色彩上偏紫 (3)皮肤上有很多的雀斑 (4)右下角偏亮 二.实验环境 Windows7 MATLAB R2012a 三.实验思路 实验1: (1)生成所需图像,框的颜色为绿红蓝绿; (2)将该RGB图像转换到HSI空间; (3)用25*25的平均模板模糊H分量图像,再转换回RGB空间,并观察此时图

像的变化情况; (4)重复(2)(3),这次处理的是S分量,观察图像的变化情况。 实验2: (1)将原图像转化为double型; (2)提升原图像的整体亮度; (3)对原图像进行饱和度处理,使图片偏紫得到改善; (4)通过RGB平滑去除色斑; (5)通过find函数降低图片右下角的亮度; 四.实验结果 实验1: 结果分析:H分量为与红轴的夹角,S分量为圆上的点到圆心的距离,I分量为高。 (1)理论上,对H做均值滤波时,S和I的值是不变的,若掩膜红蓝分量相同时,转化到RGB空间为绿色,若掩膜红绿分量相同时,转换到RGB空间时依次为为红黄绿,若掩膜蓝绿分量相同时,转换到RGB空间时依次为蓝青绿,若掩膜红绿蓝分量都有时,则转换到RGB空间时,依次为蓝青绿黄红,观察实验结果,与理论相同; (2)理论上,对S做均值滤波时,H,S和I分量的值都不变,做掩膜也不会影响这几个分量,因此转换到RGB空间上观察和原图结果相同,观察实验结果,与理论相同。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

555简易电子琴数字逻辑课程设计报告 正文

目录 第一章系统概述 (1) 1.1 系统开发背景 (1) 1.2 系统开发意义 (1) 1.3 EWB在数字电子电路综合课程设计中的应用 (1) 第二章555简易电子琴设计 (2) 2.1 设计题目 (3) 2.2 设计的目的与要求 (3) 2.3 分析任务 (3) 2.3.1设计总开关模块 (3) 2.3.2设计控制模块 (3) 2.3.3设计琴键模块 (3) 2.3.4设计扬声器模块 (3) 2.4 需用器件的选择 (3) 2.4.1 555定时器 (3) 2.4.2 电容 (6) 2.4.3 电阻 (6) 2.5 总体说明 (6) 2.6 单元模块 (6) 第三章555简易电子琴的实现 (8) 3.1 单元模块的实现 (8) 3.2 电子琴的完整电路设计 (9) 3.3 参考文献 (17)

第一章系统概述 1.1系统开发背景 随着电子技术的不断发展,模拟电子技术的缺点和局限性越发明显,模拟电子技术的不稳定性、易干扰性等大大限制了其应用,且有阻碍电子 技术发展的趋势。19世纪兴起的数字电路以其先天的便捷、稳定的优点在 现代电子技术电路中占有越来越重要的地位。 数字电路与模拟电路相比有显而易见的稳定性。近年来,数字电路又有了巨大的发展。可编程逻辑器件(PAL、GAL等)的发展和普及最终使IC 的设计面向了用户(这是模拟电路无法做到的),而这毫无疑问会给用户带来巨大的便捷,从而奠定它在电子电路中的对位。 随着集成技术的进一步提高,各种新技术的出现和应用,人类历史横跨数码时代向更进一步发展已出现在各大型相关企业的宏伟蓝图中。新世 纪里谁掌握了新技术谁就得到了获胜的资本,也仅仅是资本而矣。新世纪 里电子行业的发展速度令人窒息,闻名的摩尔定律更把许多人威吓在门外。 可以展望,由数字构成的新世界即将出现。将是人类文明的又一飞跃。 1.2系统开发意义 555简易电子琴是一种用数字电路技术实现数字显示装置,与机械式数字显示装置相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。555简易电子琴从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做555简易电子琴就是为了了解555定时器的原理,从而学会制作555简易电子琴,而且通过555简易电子琴的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1.3EWB在数字电子电路综合课程设计中的应用

北京邮电大学 数字逻辑期末模拟试题8

本科试卷(八) 一、选择题(每小题2分,共30分) 1.逻辑函数F1=∑m (2,3,4,8,9,10,14,15), 它们之间的关系是________。 A . B . C . D .、互为对偶式 2. 最小项的逻辑相邻项是________。 A .ABCD B. C. D. 3. 逻辑函数F (ABC )=A ⊙C 的最小项标准式为________。 A.F=∑(0,3) B. C.F=m 0+m 2+m 5+m 7 D. F=∑(0,1,6,7) 4. 一个四输入端与非门,使其输出为0的输入变量取值组合有_______种。 A. 15 B. 8 C. 7 D. 1 5. 设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要_______个异或门。 A .2 B. 3 C. 4 D. 5 6. 八路数据选择器如图1-1所示,该电路实现的逻辑函数是F=______。 A . B . C . D . 图1-1 7. 下列电路中,不属于时序逻辑电路的是_______。 A .计数器 B .触发器 C .寄存器 D .译码器 8. 对于JK 触发器,输入J=0,K=1,CP 脉冲作用后,触发器的次态应为_____。 A .0 B. 1 C. 保持 D. 翻转 9. Moore 型时序电路的输出_____。 A.与当前输入有关 B. 与当前状态有关 C. 与当前输入和状态都有关 D. 与当前输入和状态都无关 2F ABC ABCD ABC ABC ACD =++++12F F =12F F =12F F =1F 2F ABCD ABCD ABCD ABCD C A C A F +=AB AB +AB AB +A B ⊕A B +

2015年北邮数字信号处理软件实验报告

数字信号处理软件实验 MATLAB 仿真 2015年12月16日

实验一:数字信号的 FFT 分析 ● 实验目的 通过本次实验,应该掌握: (a) 用傅立叶变换进行信号分析时基本参数的选择。 (b) 经过离散时间傅立叶变换(DTFT )和有限长度离散傅立叶变换(DFT )后信号频谱上的区别,前者 DTFT 时间域是离散信号,频率域还是连续的,而 DFT 在两个域中都是离散的。 (c) 离散傅立叶变换的基本原理、特性,以及经典的快速算法(基2时间抽选法),体会快速算法的效率。 (d) 获得一个高密度频谱和高分辨率频谱的概念和方法,建立频率分辨率和时间分辨率的概念,为将来进一步进行时频分析(例如小波)的学习和研究打下基础。 (e) 建立 DFT 从整体上可看成是由窄带相邻滤波器组成的滤波器组的概念,此概念的一个典型应用是数字音频压缩中的分析滤波器,例如 DVD AC3 和MPEG Audio 。 ● 实验内容及要求 ? 离散信号的频谱分析 设信号 此信号的0.3pi 和 0.302pi 两根谱线相距很近,谱线 0.45pi 的幅度很小,请选择合适的序列长度 N 和窗函数,用 DFT 分析其频谱,要求得到清楚的三根谱线。 ? DTMF 信号频谱分析 用计算机声卡采用一段通信系统中电话双音多频(DTMF )拨号数字 0~9的数据,采用快速傅立叶变换(FFT )分析这10个号码DTMF 拨号时的频谱。 00010450303024().*cos(.)sin(.)cos(.)x n n n n ππππ=+--

●MATLAB代码及结果 ?离散信号的频谱分析 clf; close all; N=1000; n=1:1:N; x=0.001*cos(0.45*n*pi)+sin(0.3*n*pi)-cos(0.302*n*pi-pi/4); y=fft(x,N); mag=abs(y); w=2*pi/N*[0:1:N-1]; stem(w/pi,mag); axis([0.25 0.5 0 2]); xlabel('频率'); ylabel('X(k)'); grid on;

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

数字逻辑课程设计报告

数字逻辑 课程设计报告 —多功能数字钟的设计与实现 姓名: 专业班级:通信1002 学号:31006010 指导老师:曾宇 设计日期:2012.06.20~2012.06.24

一、设计目的 1、学会应用数字系统设计方法进行电路设计; 2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。 3、培养学生书写综合实验报告的能力。 二、设计任务及要求 实现多功能数字钟的设计,主要有以下功能: 1、记时、记分、记秒 2、校时、校分、秒清0 3、整点报时 4、时间正常显示 5、闹时功能(选做) 三、设计思路 3.1 计时模块 3.1.1 设计原理 计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。 图3.1.1 计时间模块 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号; 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 计数器的设计: 3.1.2 设计程序 编程分别设计24、60进制计数器,计数状态以BCD码形式输出。 24进制计数器源程序:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN24 is port(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic; h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位 end FEN24; architecture behave of FEN24 is begin process(clk) variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数 begin if(en='0')then ---“使能”为0 cnt0:="0010"; cnt1:="0001"; elsif clk'event and clk='1'then ---上升沿触发 if cnt1="0010"and cnt0="0011"then cnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000"; co<='1'; else co<='0'; if cnt0="1001"then cnt0:="0000"; cnt1:=cnt1+1; else cnt0:=cnt0+1; -----高位记数累加 end if; end if; end if; h1<=cnt1; h0<=cnt0; end process; end behave; 60进制计数器源程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN60 is

数字逻辑北邮期末分析

1.电子电路分为模拟电子电路和数字电子电路。数值的度量采用直流电压或电流的连续值,称模拟量。 2.数字电路比模拟电路有许多优点。如:电路便于集成化、系列化生产,成本低廉,使用方便;抗干扰性强,可靠性高,精度高;处理功能强,不仅能实现数值运算,还可以实现逻辑运算和判断;可编程数字电路可容易地实现各种算法,具有很大的灵活性;数字信号更易于存储、加密、压缩、传输和再现。 3.数字量具有精度高、传输高效、易存储、易处理等优点(上升沿10%—90%) 4.自然码:有权码,每位代码都有固定权值,结构形式与二进制数完全相同,最大计数为2n-1,n为二进制数的位数 5.可靠性代码:(1) 奇偶校验码(2) 格雷码(Gray 码,又称循环码(循环码的一种)<格雷码的特点是任何相邻的两个码组中,仅有一位代码不同,抗干扰能力强,主要用在计数器中> 6.数字电路是传递和处理数字信号的电子电路。它有组合逻辑电路和时序逻辑电路两大类。 7.数字电路的优点:便于高度集成化,工作可靠性强,抗干扰能力强,保密性好等。 8.时序逻辑电路中一定包含:触发器。时序电路中必须有:时钟。从本质上讲,控制器是一种时序电路。时序逻辑电路:逻辑功能特点:任何时刻的输出不仅取决于该时刻的输入信号(输入变量)的状态,而且与电路原有的状态(原来的输出)(Qn+1 = f(Qn, input))有关。即历史状态相关性。时序逻辑电路具有记忆功能(适当的控制) 电路结构特点:由存储电路和组合逻辑电路组成。包含锁存器或触发器它的输出往往反馈到输入端,与输入变量一起决定电路的输出状态。 //时序逻辑电路的类型(都跟触发器或其组合有关)同步时序逻辑电路:所有触发器的时钟端连在一起。所有触发器在同一个时钟脉冲CP 控制下同步工作。 异步时序逻辑电路:时钟脉冲CP 只触发部分触发器,其余触发器由电路内部信号触发。因此,触发器不在同一时钟作用下同步工作。 9.一位十进制计数器至少需要4个触发器 10.锁存器、触发器和门电路是构成数字电路的基本单元。 锁存器、触发器有记忆功能,由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关。而门电路(组合电路)无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关 11.布尔代数的三个最重要规则是代入规则,反演规则和对偶规划 12.数字量的特定是数值为离散量,运算结果也是离散量。 13.二进制系统的两个数字0和1是一个开关量,常称比特。用来表示1和0的电平称为逻辑电平。 14.自然二进制有叫有权码。循环码(又叫单位距离码):任何相邻的两个码字中,仅有一位不同。 15.二进制对十进制编码,简称BCD码。8421码(eg:1592是0001 0101 1001 0010)<当相加和大于9时加6修正,无1010~1111>余3码:在8421码的基础上加0011。优点执行十进制相加时,能正确的产生进位信号,而且会给减法运算带来方便。格雷码是使任何两个相邻的代码只有一个二进制状态不同(主要用于计数器)。格雷码是一种循环码。无权码:余 3 码和格雷码。有利于得到更好的译码波形。可靠性代码(奇偶校验码,格雷码) 16.化简的意义:使逻辑式最简,以便设计出最简的逻辑电路,从而节省元器件,优化生产工艺,降低成本和提高系统可靠性。 17.逻辑函数的描述工具:布尔代数{(布尔代数中的变量称为逻辑变量)<0和1代表两种对立的逻辑状态>};真值表(n变量,2^n种可能);逻辑图法();卡诺图法(变量数基本上少于5);波形图;硬件描述语言法。 18.正逻辑,负逻辑,三态门(逻辑1,逻辑0,高阻抗)<使能端有效时(逻辑1)输出状态取决于输入状态> 19.卡诺图

北邮课表

2009级通信工程专业培养方案的执导教学计划 打开课组| 关闭课组 2009-2010学年 第一学期(秋季学期) [3200010]思想道德修养与法律基础 [3200020]中国近现代史纲要 [3310011]体育基础(上) [4110011]高等数学A(上) [4110071]线性代数 [4110081]高等代数 [1100310]大学计算机基础 [8200010]军事理论 [8300001]形势与政策1 [3112010]大学英语一级 [4110051]数学分析(上) 第二学期(春季学期) [3112020]大学英语二级 [3320011]体育基础(下) [4110021]高等数学A(下) [1201011]电路分析基础

[8200020]军训 [8300002]形势与政策2 [4120012]大学物理B(上) [3200030]毛泽东思想和中国特色社会主义理论体系概论 [3200031]毛泽东思想和中国特色社会主义理论体系概论(实践) [4110061]数学分析(下) [1100320]C++程序设计基础 第三学期(夏季学期) 2010-2011学年 第一学期(秋季学期) [3200040]马克思主义基本原理 [3330012]体育专项(上) [4120022]大学物理B(下) [4130021]物理实验(2) [1100330]数据结构 [1100520]计算机实习 [1201030]信号与系统 [1208011]电子测量与电子电路实验(上) [4110140]复变函数 [8300003]形势与政策3

[3112030]大学英语三级 [4130011]物理实验(1) [1100010]电子电路基础 第二学期(春季学期) [3112040]大学英语四级 [4110091]概率论与随机过程 [4110130]数理方程 [4110190]计算方法 [4110240]离散数学 [1100040]数字电路与逻辑设计 [1208021]数字电路与逻辑设计实验(上) [8300004]形势与政策4 [3112130]国家大学英语四级考试 [1100540]电路综合实验 [1208012]电子测量与电子电路实验(下) [1100550]电子工艺实习 [3340012]体育专项(下) [1100340]数据库技术与应用 [1100350]多媒体计算机应用基础 [1100020]数字信号处理 [1100030]通信电子电路

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

北京邮电大学1997年试题 TTL与非门的开门电平和关门电平的大小

北京邮电大学1997年试题TTL与非门的开门电平和关门电平的大小,将直接影响器件的哪个性能指标? (A)抗干扰能力(B)输出电平(C)高电平负载能力(D)扇出系数 【分析】 TTL与非门的电路图和电路符号如图3.14所示,与非门的与功能由多发射极管T1来实现的。T1的射极是与的输入端,T1的集极是与的输出。 (a)电路图(b)电路符号图3.14 简化的TTL与非门 下面列出了TTL与非门的参数。 空载导通功耗PcTTL门在静态未带负载时的功耗(功耗指器件在工作时所消耗的功率)。由于输出为低电位时的静态功耗大于输出为高电位的功耗,故常测输出为低电位时的功耗(导通功耗)。 输入短路电路IISIIS是指当一个输入端接地,而其他输入端开路时,流向接地端的电流。 输入开门电平V on和输入关门电平V off 开门电平V on指的是:当电路输出端接额定负载时,使电路输出端处于低电位状态所允许的最小输入电压。 关门电平V off指的是:当电路输出端接额定负载时,使电路输出端处于高电位状态所允许的最大输入电压。 关门电平和开门电平越靠近,越接近同一个数值(阈值电压),说明传输特性曲线越接近理想特性,并且抗干扰能力越强。 输出高电平VOH和输出低电平VOL 输出高电平VOH的测试方法:输入端全接地,输出端空载条件下测得的输出端电

压称为输出高电平VOH。 输出低电平VOL的测试方法:输入端为开门电平,输出端接316Ω负载电阻条件下测得的输出端电压称为输出低电平VOL。 输入漏电流IIH IIH指当一个输入端接高电位,而其他输入端接地时的输入电流。 扇入系数和扇出系数 扇入系数指门的输入端数,扇出系数指一个门能够驱动同类型门的个数。 传输延时tpd和速度-功耗积 传输延时是指与非门输出波形相对于输入波形的延时,如图3.15所示。输出波形下降沿的50%相对输入波形上升沿的50%之间的时间间隔叫做导通延时tpHL;输出波形上升沿的50%相对输入波形下降沿的50%之间的时间间隔叫做关闭延时tpLH;平均传输延时(简称传输延时)tpd=(tpHL+tpLH)/2。 TTL门的传输延时在3ns到40ns之间。 延迟时间 门的传输延时和空载导通功耗的乘积称为速度-功耗积,其值越小越好。 【解答】 由分析部分得知,开门电平和关门电平的大小影响器件的抗干扰能力,所以本题的答案为(A)。 【扩展】

2012北邮数字逻辑期中试题-评分及答案

2012北邮数字逻辑期中试题-评分及答案

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2012.3.24 班级姓名班内序号 题号一二三四五六七八九 总成 绩 分 数 20 10 10 10 10 10 10 10 10 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、选择(单项选择)题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.组合逻辑电路中不存在记忆单元。(√) 2.晶体管的饱和越深,其对灌电流负载的驱动能力越强,但工作速度越慢。( √ ) 3.TTL门的某输入端通过100KΩ电阻接电源端时,可认为该输入是逻辑“1”。(√) 4.为了增加驱动能力,相同输入时的相同逻辑门输出可以互连在一起使用。 2

3 ( √ ) 5.当两个或两个以上输入信号同时变化,变化前后输出相同,而在输入信号变化时可能出现输出瞬间逻辑错误,称为静态逻辑冒险。( × ) 6.正逻辑的或非门,对应负逻辑的与非门。( √ ) 7.TTL 与非门的关门电平V OFF 越接近阈值电压V T ,其噪声容限越大。( √ ) 8.当i j ≠时,必有两个最大项之积0i j M M ?=。( × ) 9. 用最简与或式表示一个函数时,其表达式可能不是唯一的。( √ ) 10.反相器的拉电流负载电阻过小时,主要对输出的高电平产生影响。( √ ) 11.逻辑项ABCD 的相邻项有: C 。 A.ABCD B.BCD A C.ABC D ? D. C A B D ? 12.判断下列两个函数式中,是否存在逻辑冒险。 B 。 1(,,)Y A B C A BC =+ 2(,,)Y A B C ABC ABC =+ A.不存在,存在 B. 不存在,不存在 C.存在,不存在 D. 存在,存在 13.通过适当连接和控制,可以实现数据双向传输的门电路是 D 。 A. 集电极开路输出的TTL 门电路; B. 互补式输出的TTL 门电路; C. ECL 门电路; D. 三态输出的门电路。 14.若某TTL 门电路的输出端最大灌电流负载能力为16mA ,最大拉电流负载能力为-12mA(流出输出端)。其输入端低电平的输入最大电流为-1mA(流出输入端),输入端高电平时的输入最大电流为0.1mA(流入输入端),该门电路的扇出系数为: A 。 A . 16 B. 120 C. 12 D. 160 15.图1.1中电路为TTL 电路。不能实现对应逻辑功能的电路有 A 。 & A A 1 ≥A A 1 =A A A B 图1.1

相关文档
最新文档