基于Matlab的组合逻辑电路设计与仿真

基于Matlab的组合逻辑电路设计与仿真
基于Matlab的组合逻辑电路设计与仿真

摘要.................................................................................................................................................. I Abstract ............................................................................................................................................ I 1 Matlab简介. (1)

1.1 Matlab程序设计 (1)

1.2 Simulink工具 (1)

1.2.1 Simulink的启动 (1)

1.2.2 Simulink模块库及操作 (2)

1.2.3 仿真参数设置 (2)

2 逻辑电路原理分析 (3)

2.1 编码器 (3)

2.2 译码器 (3)

2.2.1 二进制译码器 (4)

2.2.2 显示译码器 (5)

2.3 数据选择器 (5)

3 组合逻辑电路设计与仿真 (6)

3.1 8线3线编码器的设计与仿真 (6)

3.1.1 M文件程序验证 (6)

3.1.2 Simulink仿真 (6)

3.2 3线8线译码器的设计与仿真 (8)

3.2.1 M文件程序验证 (8)

3.2.2 Simulink仿真 (9)

3.3 数据选择器的设计与仿真 (11)

3.3.1 M文件程序验证 (11)

3.3.2 Simulink仿真 (11)

3.4 4线16线译码器的设计与仿真 (12)

3.4.1 3线8线译码器封装 (12)

3.4.2 4线6线译码器的仿真 (14)

3.5 七段数码管的设计与仿真 (16)

3.5.1 M文件程序验证 (16)

3.5.2 Simunlink仿真 (16)

4 心得体会 (18)

参考文献 (19)

附录 (20)

本文主要介绍利用Matlab强大的图形处理功能、符号运算功能以及数值计算功能,及Matlab仿真工具Simulink实现组合逻辑电路的调试、仿真。主要包括:用Matlab编写常用组合逻辑电路逻辑表达式的M文件,并在给定输入信号的情况下完成并验证各基本组合逻辑电路的功能(8线3线编码器、3线8线译码器、4线16线译码器、数据选择器、七段数码管);并通过Simulink仿真软件使用常见的与、或、非等基本逻辑单元,时钟信号等信号源和示波器完成以上各种逻辑电路的设计和仿真,通过程序设计和波形输入输出仿真两种方法来共同完成各种基本的组合逻辑电路的设计。

关键字:Matlab、组合逻辑电路、Simulink

Abstract

This paper mainly introduces the use of Matlab powerful graphics processing functions, symbolic operation function and numerical calculation function, and Matlab simulation tools Simulink realize the assembly logic circuit test, the simulation. Mainly includes: with Matlab commonly used the assembly logic circuit logical expression of M files, and in a given input signal and the basic validation to complete the assembly logic circuit function (8-to-3 Line Decoder, 3-to-8 Line Decoder, 4-to-16 Line Decoder, Multiplexer, Seven period of digital tube); And through the Simulink software use common and, or, the basic logic unit, the clock signal source and oscilloscope complete the above all kinds of logic circuit design and simulation, through the program design and waveform input/output simulation two methods to finish all kinds of common basic combinational logic circuit design.

Key words: Matlab 、The assembly logic circuit、Simulink

1 Matlab简介

Matlab和Mathematica、Maple并称为三大数学软件。它在数学类科技应用软件中在数值计算方面首屈一指。Matlab可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。

1.1 Matlab程序设计

Matlab有两种工作方式:一种是交互式的命令行工作方式;另一种是M文件的程序工作方式。在前一种工作方式下,Matlab被当做一种高级数学演算纸和图形表现器来使用,Matlab提供了一套完整的而易于使用的编程语言,为用户提供了二次开发的工具,下面主要介绍Matlab控制语句和程序设计的基本方法。

用Matlab语言编写的程序,称为M文件。M文件有两类:命令文件和函数文件。两者区别在于:命令文件没有输入参数,也不返回输出参数;而函数文件可以输入参数,也可以返回输出参数。命令文件对Matlab工作空间的变量进行操作,而且函数文件中定义的变量为局部变量,当函数文件执行完毕时,这些变量被清除。M文件可以使用任何编辑程序建立和编辑,而一般常用的是使用Matlab提供的M文件窗口。

首先从Matlab命令窗口的File菜单中选择New菜单项,在选择M-file命令,将得到的M文件窗口。在M文件窗口输入M文件的内容,输入完毕后,选择此窗口File菜单的save as命令,将会得到save as 对话框。在对话框的File 框中输入文件名,再选择OK按钮即完成新的M文件的建立。

然后在从Matlab命令窗口的File 菜单中选择Open对话框,则屏幕出现Open对话框,在Open对话框中的File Name 框中输入文件名,或从右边的directories框中打开这个M 文件。在M文件所在的目录,再从File Name 下面的列表框中选中这个文件,然后按OK 按钮即打开这个M文件。在M文件窗口可以对打开的M文件进行编辑修改。在编辑完成后,选择File菜单中的Save命令可以把这个编辑过的M文件报存下来。

当用户要运行的命令较多或需要反复运行多条命令时,直接从键盘逐渐输入命令显得比较麻烦,而命令文件则可以较好地解决这一问题。我们可以将需要运行的命令编辑到一个命令文件中,然后再Matlab命令窗口输入该命令文件的名字,就会顺序执行命令文件中的命令。

1.2 Simulink工具

Simulink是MATLAB软件的扩展,它是实现动态系统建模和仿真的一个软件包,它与MATLAB语言的主要区别在于,其与用户交互接口是基于Windows的模型化图形输入,其结果是使得用户可以把更多的精力投入到系统模型的构建,而非语言的编程上。

1.2.1 Simulink的启动

Simulink有四种启动方法:

(1)在MATLAB命令窗口中输入simulink,结果是在桌面上出现一个称为Simulink

Library Browser的窗口,在这个窗口中列出了按功能分类的各种模块的名称;

(2)在MATLAB命令窗口中输入Simulink3,结果是在桌面上出现一个用图标形式显示的Library :simulink3的Simulink模块库窗口;

(3)可以通过单击MATLAB主窗口工具条上的Simulink图标打开;

(4)可以通过单击MATLAB主窗口菜单选择File→New→Model,弹出一个Untitled 的Simulink模型窗口,再选择View →Show Library Browser,弹出Simulink Library Brower 模块库窗口。

1.2.2 Simulink模块库及操作

Simulink模块库按照功能分类可以分为:连续模块、离散模块、查表模块和用户定义函数模块、数学模块、非线性模块、信号通路模块、接收器模块、输入源模块、特别模块、其他常用方块组及工具箱。在模型窗口中,选中模块,则其4个角会出现黑色标记,此时可以对模块进行以下的基本操作:

(1)移动:选中模块,按住鼠标左键将其拖曳到所需的位置即可。若要脱离线而移动,可按住shift键,再进行拖曳。

(2)复制:选中模块,然后按住鼠标右键进行拖曳即可复制同样的一个功能模块。(3)删除:选中模块,按Delete键即可。若要删除多个模块,可以同时按住Shift键,再用鼠标选中多个模块,按Delete键即可。也可以用鼠标选取某区域,再按Delete键就可以把该区域中的所有模块和线等全部删除。

(4)转向:为了能够顺序连接功能模块的输入和输出端,功能模块有时需要转向。在菜单Format→Flip Block旋转180度,Format→Rotate Block顺时针旋转90度。

(5)改变大小:选中模块,对鼠标移到角上出现?,进行拖曳即可。

(6)模块命名:先用鼠标在需要更改的名称上单击一下,然后直接更改即可。名称在功能模块上的位置也可以变换180度,可以用Format→Flip Name来实现,也可以直接通过鼠标进行拖曳。Hide Name可以隐藏模块名称。

(7)颜色设定:Format →Foreground Color改变模块的前景颜色,Background Color 改变模块的背景颜色;而模型窗口的颜色可以通过Screen Color来改变。

(8)参数设定:用鼠标双击模块,就可以进入模块的参数设定窗口,从而对模块进行参数设定。或点击鼠标右键,选择Block parameters 参数设定窗口包含了该模块的基本功能帮助。通过对模块的参数设定,就可以获得需要的功能模块。

1.2.3 仿真参数设置

构建好一个系统的模型之后,接下来的事情就是运行模型,得出仿真结果。运行一个仿真的完整过程分成三个步骤:设置仿真参数,启动仿真和仿真结果分析。选择Simulation 菜单下的Parameters命令,就会弹出一个仿真参数对话框,它主要用三个页面来管理仿真的参数:Solver页,它允许用户设置仿真的开始和结束时间,选择解法器,说明解法器参数及选择一些输出选项;Workspace I/O页,作用是管理模型从MATLAB工作空间的输入和对它的输出;Diagnostics诊断页,允许用户选择Simulink在仿真中显示的警告信息的等级。

2 逻辑电路原理分析

根据逻辑功能的不同,数字电路分为两大类:一类是组合逻辑电路,另一类是时序逻辑电路。在组合电路中,任意时刻的输出仅取决于该时刻的输入,与电路的原始状态无关;在时序电路中,任意时刻的输出不仅取决于该时刻的输入,而且与该时刻之前电路的状态有关。组合逻辑电路的结构具有以下特点:输出输入之间没有反馈延迟通路;电路中不含有记忆功能的元件。

2.1 编码器

编码器可分为普通编码器和优先编码器。普通编码器不能有两个以上的编码信号同时输入到编码器上,而优先编码器允许有多个编码信号同时加到编码器的输入端.但电路只对编码信号中的优先级别进行编码,对于比它低级别的信号不予理睬。

以8线3线优先编码器为例,其逻辑功能真值表如表2-1所示,从功能表中可以看出,该编码器有8个信号输入端,3个二进制输出端,输入和输出均以低电平作为有效电平,而且输入优先级别的次序依次A7,A6,A5,A4,A3,A2,A1,A0。此外还设置了低电平有效地输入使能端EI 。

表2-1 8线3线优先编码器真值表

输入

输出

EI

A0 A1 A2 A3 A4 A5 A6 A7 B2 B1 B0 1 × × × × × × × × 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 × × × × × × × 0 0 0 0 0 × × × × × × 0 1 0 0 1 0 × × × × × 0 1 1 0 1 0 0 × × × × 0 1 1 1 0 1 1 0 × × × 0 1 1 1 1 1 0 0 0 × × 0 1 1 1 1 1 1 0 1 0 × 0 1 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1

1

1 1

2.2 译码器

译码器是组合逻辑电路的一个重要的器件,其可以分为:变量译码和显示译码两类。变量译码一般是一种较少输入变为较多输出的器件,一般分为2n 译码和8421BCD 码译码两类。显示译码主要解决二进制数显示成对应的十、或十六进制数的转换功能,一般其可分为驱动LED 和驱动LCD 两类。

A4));

(~|A5)(~|A6)(~|A7)((~&)EI (~2B =A2)));

(~&A4&(A5|A3))(~&A4&(A5|A6)(~|A7)((~&EI)(~B1= A1)));(~&A2&A4&(A6|A3))(~&A4&(A6|A5))(~&(A6|A7)((~&EI)(~B0=

译码器的种类很多,二进制译码器、二-十进制译码器和显示译码器是三种最典型的译码电路。译码器是典型的组合数字电路,译码器是将一种编码转换为另一种编码的逻辑电路。从广义的角度看,译码器有三类:二进制码译码器,一般是将二进制码译为十进制码;代码转换译码器,是从一种编码转换为另一种编码;显示译码器,一般是将一种编码译成十进制码或特定的编码,并通过显示器件将译码器的状态显示出来。

2.2.1 二进制译码器

常见的集成二进制译码器有74x138和74x139。其中74x139是双线译码器,两个独立的译码器封装在一个集成片中。下面主要介绍74HC138的逻辑功能及应用。

74HC138是3线8线译码器,其功能表如表2-2所示。译码器有3个二进制输入端A2、A1、A0,它们共有8中状态的组合,即可译出8个输出信号B7~B0,输出为低电平有效。当 =1且 + =0时,译码器工作;而当 =0或 + =1 时,禁止译码器工作,此时不论3个输入端为何种状态,8个输入端均为高电平。

表2-2 3线8线译码器真值表

输入 输出

A2 A1 A0 B7 B6 B5 B4 B3 B2 B1 B0 0 × × × × × 1 1 1 1 1 1 1 1 1 1 × × × × 1 1 1 1 1 1 1 1 1 × 1 × × × 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1 0 0 0 0 1 1 1 1 1 1 1 0 1 1 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 0 0 1 1 1 1 1 1 0 1 1 1 1 0 0 1 0 0 1 1 1 0 1 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 1 1 功能表中1和0分别表示高、低电平,画出3线8线译码器的卡诺图并推导出各输出端的逻辑表达式:

利用3线8线译码器可以构成4线16线,5线32线或6线64线译码器。利用两片3线8线译码器和一个反相器可以构成4线16线译码器。

1E

A 2E

B 2E 1E A 2E B 2E 1E A 2E B 2E A0;&A1&A2&))E E ((~&E B7B 2A 21+= A0);(~&A1&A2&))E (E (~&E B62B 2A 1+=A0);(~&A1)(~&A2&))E (E (~&E B42B 2A 1+=A0);(~&A1&A2)(~&))E (E (~&E 2B 2B 2A 1+=A0);(~&A1)(~&A2)(~&))E (E (~&E B02B 2A 1+=A0;&A1&A2)(~&))E (E (~&E B32B 2A 1+= A0;&A1)(~&A2&))E (E (~&E B52B 2A 1+= A0;&A1)(~&A2)(~&))E (E (~&E B12B 2A 1+=

2.2.2 显示译码器

为了是数码管能显示十进制数,必须将十进制数的代码经译码器译出,然后经驱动去点亮对应的段。译码器的功能就是,对应于某一段数码输入,相应的几个输出端有有效信号输出。下面介绍一种常用的CMOS七段译码器,其真值表如表2-3所示。

表2-3 共阴极七段译码器真值表

数值

输入输出

A3 A2 A1 A0 a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0

1 0 0 0 1 0 1 1 0 0 0 0

2 0 0 1 0 1 1 0 1 1 0 1

3 0 0 1 1 1 1 1 1 0 0 1

4 0 1 0 0 0 1 1 0 0 1 1

5 0 1 0 1 1 0 1 1 0 1 1

6 0 1 1 0 1 0 1 1 1 1 1

7 0 1 1 1 1 1 1 0 0 0 0

8 1 0 0 0 1 1 1 1 1 1 1

9 1 0 0 1 1 1 1 1 0 1 1

A 1 0 1 0 1 1 1 0 1 1 1

B 1 0 1 1 0 0 1 1 1 1 1

C 1 1 0 0 1 0 0 1 1 1 0

D 1 1 0 1 0 1 1 1 1 0 1

E 1 1 1 0 1 0 0 1 1 1 1

F 1 1 1 1 1 0 0 0 1 1 1

2.3 数据选择器

数据选择器功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。常见的数据选择器有4选1数据选择器、8选1数据选择器、16选1数据选择器等之分。下面以4选1数据选择器为例进行分析,其真值表如表2-4所示。

表2-4 4选1数据选择器功能表

输入地址输出

S1S0Y

0 0 I0

0 1 I1

1 0 I2

1 1 I3

当输入地址为00时,输出信号为I0;当输入地址为01时,输出信号为I1;当输入地址为10时,输出信号为I2;当输入地址为11时,输出信号为I3。根据功能表可以写出输出信号Y的表达式:)

I

&

S

&

(S

|)

I

&

)

S

(~

&

(S

|)

I

&

S

&

)

S

((~

|)

I

&

)

S

(~

&

)

S

((~

Y

3

1

2

2

1

2

1

1

2

1

2

3 组合逻辑电路设计与仿真

3.1 8线3线编码器的设计与仿真

3.1.1 M文件程序验证

建立一个新的M文件,命名为bma83.m。根据8线3线编码器的逻辑表达式,编写其程序见附录。

在command window窗口中输入bma83,按Enter键后在弹出的语句后面输入[0 1 1 1 1 1 1 1],再按Enter键后输入EI的值为0,按Enter键后就会显示数组的值为:0 0 0。同理输入不同的初始值会得到相应的输出数据,过程如图3-1所示。

图3-1 8线3线编码器程序验证

3.1.2 Simulink仿真

进入Simulink仿真界面后,按照8线3线编码器的逻辑表达式逐一拖入所需的原件并进行连接如图3-2所示。

图3-2 8线3线编码器逻辑电路图

设置好输入时钟脉冲后,点击进行仿真,然后分别点击查看输入输出信号的示波器查看波形,如图3-3和图3-4所示,根据波形可知所设计的8线3线编码器,在定输入的情况下均可得到相应的正确的输出,即该设计符合设计要求。

图3-3 8线3线编码器输出波形

图3-4 8线3线编码器输入信号波形

3.2 3线8线译码器的设计与仿真

3.2.1 M文件程序验证

建立一个新的M文件,命名为yma38.m。根据3线8线译码器的逻辑表达式,编写其程序见附录。在command window窗口中输入yma38.m,按Enter键后在弹出的语句后面输入数据[1 0 1],再按Enter键后输入使能端的值为[1 0 0],按Enter键后就会显示数组的值为:1 1 0 1 1 1 1 1。同理输入不同的初始值会得到相应的输出数据,过程如图3-5所示。

图3-5 3线8线译码器程序验证

3.2.2 Simulink仿真

进入Simulink仿真界面后,按照3线8线译码器的逻辑表达式逐一拖入所需的原件并进行连接如图3-6所示。

图3-6 3线8线译码器逻辑电路图

设置好输入时钟脉冲后,点击进行仿真,然后分别点击查看输入输出信号的示波器查看波形,如图3-7和图3-8所示。

图3-7 3线8线译码器输入信号波形

图3-8 3线8线译码器输出波形

根据以上波形可以看出所设计的3线8线译码器,在给不同输入的情况下均可得到相应的正确的输出,即该设计符合设计要求。

3.3 数据选择器的设计与仿真

3.3.1 M文件程序验证

建立一个新的M文件,命名为shu.m。根据4选1数据选择器的逻辑表达式,编写其程序见附录。在command window窗口中输入shu.m,按Enter键后在弹出的语句后面输入[1 0 1 0],再按Enter键后输入[1 0],按Enter键后就会显示数组的值为:1。同理输入不同的初始值会得到相应的输出数据,过称如图3-9所示。

图3-9 4选1数据选择器程序验证

3.3.2 Simulink仿真

进入Simulink仿真界面后,按照4选1数据选择器的逻辑表达式逐一拖入所需的原件并进行连接如图3-10所示.

图3-10 4选1数据选择器逻辑电路图

设置好输入时钟脉冲后,点击进行仿真,然后分别点击查看输入输出信号的示波器查看波形,如图3-11所示,根据以下波形可以看出所设计的4选1数据选择器,在给不同输入的情况下可得到相应的正确的输出,即该设计符合设计要求。

图3-11 4选1数据选择器输入输出波形

3.4 4线16线译码器的设计与仿真

3.4.1 3线8线译码器封装

在设计完3线8线译码器后为了完成4线16线的设计,需要对其进行封装,首先在3线8线译码器原理图上选中需要封装的部分,然后选择Edit菜单下的Create Subsystem,如图3-12所示。

图3-12 封装系统

在创建系统后需要对其进一步封装,首先选中创建好的系统选择Edit菜单下的Mask

Subsystem命令,会出现一个对话框:Mask Editor,输入命令如图3-13所示,并在设置图标的透明度时,选取Transpoarent是显示图标下的内容。

图3-13 Icon&Port设置

设置Icon页后还需要设置Documentation页,如图3-14所示,在该页中可以为封装模块设置封装类型、描述文件和帮助文件,在模块的描述编辑框中,可以填入对模块的描述文本。

图3-14 Documentation设置

设置好Documentation页后对该模块点击OK键就可以看到封装后的模块了,如图3-15所示,模块的中间是输出了我们设置的文本,然后双击该模块,这次看到的不再是子系统的电路了,而是一个模块对话框。

图3-15 封装后的元件

3.4.2 4线6线译码器的仿真

4线16线译码器可以用2片3线8线译码器和一个反相器级联而成,其原理图如图3-16所示。

图3-16 4线16线译码器逻辑电路图

通过将输入信号的最高位与第一个3线8线译码器的E1端相连,并经过一个反相器和第二个3线8线译码器的E1连接,再将两个译码器的E2A和E2B使能端相连作为4线16线译码器的使能端,且低电平有效。

设置好输入时钟脉冲后,点击进行仿真,然后分别点击查看输入输出信号的示波器查看波形,如图3-17、图3-18和图3-19所示。

图3-17 4线16线译码器输入信号波形

图3-18 输出信号高8位图3-19 输出信号低8位

根据以上波形可以看出所设计的4线16线译码器,在给不同输入的情况下可得到相应的正确的输出,即该设计符合设计要求。

3.5 七段数码管的设计与仿真

3.5.1 M文件程序验证

建立一个新的M文件,命名为seg7.m。根据七段数码管的逻辑表达式,编写其程序见附录。在command window窗口中输入seg7.m,按Enter键后在弹出的语句后面输入[1 0 0 1],按Enter键后就会显示数组的值为:1 1 1 1 0 1 1。同理输入不同的初始值会得到相应的输出数据,过程如图3-20所示。

图3-20 七段数码管程序验证

3.5.2 Simunlink仿真

进入Simulink仿真界面后,按照七段数码管的逻辑表达式逐一拖入所需的原件并进行连接如图3-21所示。

图3-21 七段数码管逻辑电路图

设置好输入时钟脉冲后,点击进行仿真,点击查看输入输出信号的示波器查看波形,如图3-22和图3-23所示,根据以下波形可以看出所设计的七段数码管,在给不同输入的情况下均可得到相应的正确的输出,即该设计符合设计要求。

图3-22 七段数码管输入信号波形

图3-23 七段数码管输出波形

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

组合逻辑电路仿真

组合逻辑电路仿真 一、组合逻辑电路的分析 本次仿真实验要求对两个问题进行仿真模拟:1、设计一个四人表决电路,在三人以上同意时灯亮,否则灯灭。并要求采用与非门实现。2、设计一个4位二进制码数据范围指示器,要求能够区分0≤X≤4、5≤X≤9、10≤X≤15三种情况,同样要求采用与非门实现。下面先对两个问题进行逻辑化分析。 1、四人表决电路 在本问题中,很容易就可以看出问题的核心在于“四个人的表决意见决定灯的亮与灭”。所以该问题的输入变量是四个人的表决意见,输出变量为灯的亮灭。以A、B、C、D分别表示四个人的意见为“同意”,以它们的非表示“不同意”。而以F来表示灯处于“亮”的状态。则“三人以上同意时灯亮,否则灯灭”可以很容易的用以下逻辑表达式来表示: 为了将其简化,可以画出它的卡诺图如下: 可见,这里面包含了四个两个1相邻的项,故有卡诺图可以的到F的最简与或式为: 再对其去两次非并利用摩根定律就可以得到与非式如下: 这就是第一个问题的逻辑转化。 2、4位二进制码数据范围指示器 四位二进制码可以表示十进制下的0到15这十六个数,按照0≤X≤4、

5≤X≤9、10≤X≤15分为三组分别用三个灯的亮灭来代表输入的二进制码属于其中的哪一组。同上例,采用A、B、C、D取0或1依次表示这四位二进制码的从高到低位的取值(例如:A=0,B=1,C=0,D=0表示四位二进制码0100)。则对于第一组来说,共有5个四位二进制码包含在其中,用卡诺图表示如下: 化简即得: 同理,也有5个数包含在第二组中,卡诺图如下: 化简即得: 第三组包含了6个数,卡诺图如下:

化简即得: 对以上三个式子都去两次非并利用摩根定律可得: 这样就完成了第二个问题的逻辑转化。 二、组合逻辑图及模拟结果 1、本问题的输出量只有一个,表达式也已经表示成了与非式,因此,其逻辑图可以很容易的通过Multisim软件模拟画出,如下: 这里我用了4个开关来作为四个输入变量的控制量,即第n个开关“开”表示第n个人“同意”;用灯泡的亮灭来代表输出(题目中要求三人以上同意时灯亮);则应有三个以上开关闭合时灯亮,否则灯灭。可能的输入情况有:0000、0001、0010、0011、0100、0101、0110、0111、1000、1001、1010、1011、1100、1101、1110、1111共16种情况,可能情况比较多下面就不把模拟结果做一一展示了,只选取其中有代表性的四种情况进行展示。 模拟情况如下: 1)四个开关都断开,表示四人都不同意,灯灭。

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

最新数电组合逻辑电路设计

数电——组合逻辑电路设计 实现四位二进制无符号数乘法计算学号 姓名 专业通信工程 日期 2017.4.29

一、设计目的 设计一个乘法器,实现两个四位二进制数的乘法。两个二进制数分别是被乘数3210A A A A 和乘数3210B B B B 。被乘数和乘数这两个二进制数分别由高低电平给出。乘法运算的结果即乘积由两个数码管显示。其中显示低位的数码管是十进制的;显示高位的数码管是二进制的,每位高位片的示数都要乘以16再与低位片相加。所得的和即是被乘数和乘数的乘积。做到保持乘积、输出乘积,即认为实验成功,结束运算。 二、设计思路 将乘法运算分解为加法运算。被乘数循环相加,循环的次数是乘数。加法运算利用双四位二进制加法器74LS283实现,循环次数的控制利用计数器74LS161、数码74LS85比较器实现。运算结果的显示有数码管完成,显示数字的高位(进位信号)由计数器74LS161控制。 以54 为例。被乘数3210A A A A 是5,输入0101;乘数3210B B B B 是4,输入0100.将3210A A A A 输入到加法器的A 端,与B 端的二进制数相加,输出的和被送入74LS161的置数端(把这个计数器成为“置数器”)。当时钟来临,另一个74LS161(被称之为“计数器”)计1,“置数器”置数,返回到加法器的B 端,再与被乘数3210A A A A 相加……当循环相加到第四个时钟的时候,“计数器”计4,这个4在数码比较器74LS85上与乘数3210B B B B 比较,结果是相等,A=B 端输出1,经过反相器后变为0返回到被乘数输入电路,截断与门。至此,被乘数变为0000,即便是再循环相加,和也不变。这个和,是多次循环相加的和,就是乘积。高位显示电路较为独立,当加法器产生了进位信号,CA 端输出了一个高电平脉冲,经过非门变为下

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

实验一、(仿真)组合逻辑电路的设计与测试--振宇

实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 1、查阅与实验相关芯片资料,从.21icsearch.下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片?考虑仪器、供电电源等各种误差,如何能测量准确? 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。手册中提供的电源电流和功耗值是指整个器件总的电源电流和总的功耗。 引脚图: 7400芯片物理与逻辑引脚图:

实验一、组合逻辑电路仿真实验1

西安工业大学电子技术仿真实验报告实验题目: 1. 组合逻辑电路仿真实验 班级:100401 姓名: 学号:100401127 实验日期:2011.10.21 实验成绩:

实验一、组合逻辑电路仿真实验 一. 实验目的: 1. 熟悉Multisim 软件 2.熟练掌握虚拟仪器逻辑转换仪的使用方法 3. 掌握用Multisim 软件进行编码器、译码器等的仿真实验 4. 熟练掌握在Multisim 软件中设计简单使用电路的方法 二.实验内容 1. 虚拟逻辑转换仪(Logic-conventer )的使用 XLC1 A B U2A 74LS10D U2B 74LS10D U1A 74LS00D U1B 74LS00D U1C 74LS00D 1 2 3 46 5 87 (1)列真值表 A B C F (2)最简逻辑函数表达式F= (3)依据真值表和逻辑函数表达式分析该逻辑电路的逻辑功能 2.常用组合逻辑电路部件功能测试仿真实验 (1)编码器逻辑功能仿真实验 ① 真值表 输入 输出 0 1 2 3 4 5 6 7 A2 A1 A0

V C C 5V J1 Key = A J2 Key = B J3 Key = C J4 Key = D J5 Key = E J6 Key = F J7 Key = G J8 Key = H VCC U1 74LS148D A09A17A26GS 14D313D41D52D212D111D010D74D63EI 5 EO 15 X1 2.5 V X2 2.5 V X3 2.5 V 1 2 3 4 56 78 9 10 11 ② 分析验证集成8线-3线优先编码器的逻辑功能 (2)译码器逻辑功能仿真实验 A. 3-8译码器逻辑功能仿真 VCC 5V GND J1 Key = A J2 Key = B J3 Key = C J4 Key = D J5 Key = E J6 Key = F U1 74LS138D Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 X1 2.5 V X2 2.5 V X3 2.5 V X4 2.5 V X5 2.5 V X6 2.5 V GND 1 2 354 6 7 8 9 10 1112 X7 2.5 V X8 2.5 V 13 14 VCC ① 真值表 输入 输出 G1 G2A+G2B C B A Y 0’ Y 1’ Y 2’ Y 3’ Y 4’ Y 5’ Y 6’ Y 7’ 0 X 1 X 1 0 X X X X X X 0 0 0

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

(完整版)第九章门电路及组合逻辑电路复习答案

第九章门电路及组合逻辑电路 一、填空题 1、 模拟信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 ______ 与 ______ 信号之间的对应 ________ 关系。(输出、输入、逻辑) 4、 最基本的三种逻辑运算是 _______ 、 ________ 、 _________ 。(与、或、非) 5、 逻辑等式三个规则分别是 _______ 、 ________ 、 _________ 。(代入、对偶、反演) 6 逻辑函数常用的表示方法有 _________ 、 ________ 和 __________ o (真值表、表达式、卡诺图、逻 辑图、波形图五种方法任选三种即可) 7、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 8、 半导体二极管 __________ 时,相当于短路; ______ 时,相当于开路。(导通、截止) 9、 半导体三极管作为开关元件时工作在 __________ 状态和 ___________ 状态。(饱和、截止) 10、 在逻辑门电路中,最基本的逻辑门是 _____ 、 ______ 和 ______ o (与门、或门、非门) 11、 与门电路和或门电路具有 _____ 个输入端和 _____ 个输出端。(多、一) 12、 非门电路是 ___ 端输入、 _______ 端输出的电路。(单、单) 13、 根据逻辑功能的不同特点,逻辑电路可分为两大类: _________ 和 ________ 。(组合逻辑电路、 、判断题 1、十进制数74转换为8421BC [码应当是(01110100) 8421 BCD 。 (V ) 2、 十进制转换为二进制的时候,整数部分和小数部分都要采用除 2取余法。(X ) 3、 若两个函数相等,贝尼们的真值表一定相同;反之,若两个函数的真值表完全相同,贝U 这两个 函数未必相等。(X ) 4、 证明两个函数是否相等,只要比较它们的真值表是否相同即可。 (V ) 时序逻辑电路) 14、组合逻辑电路主要是由 ____ 、 ____ 和 15、 (1) (10011011)2 ( )8 ( (2) (AE )16 ( ) 2 ( )8 (3) (125)10 ( ) 2 (4) (13.375)10 ( )2 答:(1) _三种基本逻辑门电路构成的。(与门、或门、非门) )16 答:233、9B 答:10101110 256 1111101 (2) 1101.011

组合逻辑电路实验报告

实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门)74LS55(与或非门)74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 (一)一位全加器 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 实验内容:用74LS00与非门和74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: ; ; 1-i Bi)C (Ai + Bi Ai = Ci 1- Ci Bi Ai = Si⊕ ⊕ ⊕异或门可通过, A Bi Ai AB B+ = ⊕即一个与非门(74LS00),一个与或非门(74LS55)来实现。 , ,通过一个与或非门 1-i 1-i 1-i Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai = Ci⊕ ⊕ = ⊕ 用与非门)实现。 再取非,即一个非门( 仿真与实验电路图:仿真与实验电路图如图1 所示。 专业:工科实验班 姓名:(周三下午) 学号: 日期:地点:东三306 B-1 图1

常用组合逻辑电路设计

实 验 报 告 实验日期: 学 号: 姓 名: 实验名称: 常用组合逻辑电路设计 总 分: 一、实验目的 学习常用组合逻辑电路的可中和代码编写,学习并熟悉VHDL 编程思想与调试方法,掌握LPM 元件实现逻辑设计,从而完成电路设计的仿真验证和硬件验证,记录结果。 二、实验原理 VHDL 设计采用层次化的设计方法,自上向下划分系统功能并逐层细化逻辑描述。层次关系中的没一个模块可以是VHDL 描述的实体,上层VHDL 代码中实例化出各个下层子模块。 利用VHDL 语言和LPM 元件设计这两种方法方法实现两个二位数大小比较的电路,根据A 数是否大于、小于、等于B 数,相应输出端F1、F2、F3为1,设A=A2A1,B=B2B1(A2A1、B2B1表示两位二进制数),当A2A1>B2B1时,F1为1;A2A1

port(a2,a1:in STD_LOGIC; b2,b1:in STD_LOGIC; f1,f2:buffer STD_LOGIC; f3:out STD_LOGIC); end bijiao; architecture bijiao_arch of bijiao is begin f1<=(a2 and(not b2))or(a1 and (not b1)and a2)or(a1 and (not b1)and(not b2)); f2<=((not a2)and b2)or((not a2)and(not a1)and b1)or((not a1)and b1 and b2); f3<=not(f1 or f2); end bijiao_arch; (2)波形仿真 网格大小 100ns 结束时间 2μs 功能仿真:时序仿真:输入信号00, 01,10,11 输入信号00, 01,10,11 输出信号001, 010,100 信号均为二 进制表达 输入信号00, 01,10,11

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

SSI组合逻辑电路设计实验报告

华中科技大学 《电子线路设计、测试与实验》实验报告 实验名称:SSI组合逻辑电路设计实验 (软件) 院(系):自动化学院 实验成绩: 指导教师:汪小燕 2014 年 4 月24 日

一.实验目的 1.掌握用SSI(小规模数字集成电路)实现简单组合逻辑电路的方法。 2.掌握简单数字电路的安装于调试技术。 3.进一步熟悉数字万用表、示波器等仪器的使用办法。 4.熟悉用Verilog HDL描述组合逻辑电路的方法,以及EDA仿真技术。 二.实验元器件 芯片74HC00 2片,74LS04 一片; 若干导线,计算机; QuartusⅡ9.1集成开发环境; 面包板; 可编程器件实验板; 专用的在系统编程电缆。 三.实验原理及参考电路 组合逻辑电路的设计流程 组合逻辑电路的设计步骤如下图,先根据实际的逻辑问题进行逻辑抽象,定义逻辑状态的含义,在按照给定事件因果关系列出逻辑关系真值表。然后用给定的器件实现简化后的逻辑表达式,画出逻辑电路图。 QuartusⅡ9.1 在设计好电路之后,就可以根据设计的电路,就可以在QuartusⅡ9.1集成开发环境下,通过Verilog HDL语言编程,然后生成相应的波形文件执行仿真,最后再把程序下载到老师给的DE0板子上去,从而通过板子上LED灯的亮和不亮来确定输出的高低电平。 插板 在做完仿真之后,就可以根据设计的逻辑图选择相应的芯片进行插板,通过给不同输入高低电平组合来测输出电平的高低,从而检测是否符合实验要求。

四.实验内容 全加器/全减器 根据给定的器件,设计一个全加器/全减器电路,使之既能实现1位加法运算又能实现1位减法运算。当控制变量M=0时,电路实现加法运算;当M=1时, 电路实现减法运算。其框图如下所示,图中,00A B 、 分别为被加(减)数和加(减数),0S 为相加(减)的结果,0C 为进(借)位。

实验一 组合逻辑电路设计

电子信息工程刘晓旭2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三.实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个邮件优先级区分器。该公司收到有A,B,C,三类邮件,A,类的优先级最高,B类次之,C类最低。邮件到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的邮件同时到达时,对优先级最高的邮件先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 表1.1 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验内容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数

第20章习题2-门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 A B F 1F 2F 3 (a) (b)

异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B

数电实验报告实验二组合逻辑电路的设计方案

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

相关文档
最新文档