课程设计————电子心率计的设计

课程设计————电子心率计的设计
课程设计————电子心率计的设计

课程设计说明书正文

1:任务分析与方案设计

心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。

设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。

2:电路设计,元器件参数计算及选择

2.1:传感器的选择

:

红外线检测原理:

随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。

TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。

在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。

仿真图:

黄色信号(下)为输入信号。

蓝色信号(上)为输出信号。

由图中可以看出,输入输出信号基本相等。

2.3:放大电路的设计

传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初

步选择为660倍。设计电路的原理如下:

信号输入端

信号输出端其放大倍数的计算公式为]1[

黄色信号(下)为输入信号(其一格为2mV)

蓝色信号(上)为输出信号(其一格为2V)

2.4:滤波器的设计

干扰信号对测量结果带来很大的误差,对信号进行分析与处理时, 常常会遇到有用信号叠加上无用噪声的问题, 这些噪声有的是与信号同时产生的, 有的是传输过程中混入的。因此, 从接收的信号中消除或减弱干扰噪声, 就成为信号传输与处理中十分重要的问题。根据有用信号与噪声的不同特性, 消除或减弱噪声,提取有用信号的过程称为滤波, 实现滤波功能的系统称为滤波器。在测量的过程中,对于心率计的信号干扰主要是高频信号的干扰,所以设计的滤波器的为低通滤波器,通带截止频率设置为1000HZ,在所有的低通滤波器中,二阶有源滤波器具有时域响应快,截止性能良好,并且有一定的电压放大倍数的特点,故选择滤波器为二阶有源滤波器。

有源二阶滤波器基础电路如图1所示:

图1 二阶有源低通滤波基础电路

它由两节RC 滤波电路和同相比例放大电路组成,在集成运放输出到集成运放同相输入之间引入一个负反馈,在不同的频段,反馈的极性不相同,当信号频率f >>f0时(f0 为截止频率),电路的每级RC 电路的相移趋于-90o,两级RC 电路的移相到-180o,电路的输出电压与输入电压的相位相反,故此时通过电容c 引到集成运放同相端的反馈是负反馈,反馈信号将起着削弱输入信号的作用,使电压放大倍数减小,所以该反馈将使二阶有源低通滤波器的幅频特性高频端迅速衰减,只允许低频端信号通过。其特点是输入阻抗高,输出阻抗低

]

1[。

传输函数为:

)()()(i o ωωωj V j V j A =

2

F F

)

()-(31CR j CR j A A V V ωω++= 令 F 0V A A = 称为通带增益

F

31

V A Q -=

称为等效品质因数 RC

1

c =

ω 称为特征角频率 则2

c n

22

c 0)(ωωω++

=

s Q

s A s A

要求截止频率f0=1000HZ ;品质因数Q=0.707。低通滤波器的通带电压增益 VF A A =0=1.586。选择C=82nF,选择标准电阻R=9.09 K Ω,Rf=2.55 K Ω。

二阶有源滤波电路:

二阶有源滤波电路仿真:(蓝—输出信号黄—输入信号)

模拟信号输入时由于二阶有源滤波电路的通频带增益有了一个放大的效果这样加上前二级放大电路的放大作用,总电压放大倍数为1046.76,则可以将mV 级信号放大到V级。

1kHZ频率信号输入仿真:

如仿真波形所示:当频率大于1000HZ的信号输入时,电压衰减为0,取得了良好的滤波效果

2.5:整形电路:

输入信号进入后将首先通过比较器将正弦型信号转变成-5V—5V的方波信号,之后方波信号进入施密特触发器进行进一步的整形,将信号转换为0V—5V的数字信号,并提高信号的上升和下降时间,有利于信号的分辨与处理。

施密特触发器是脉冲波形变换中常用一种电路,它在性能上有两个特点:第一,输入信号从低电平上升的过程中电路转换时对应的输入电平,与输入信号从高电平下降的过程中对应的输入电平不同。第二,在电路转换时通过电路内部中的正反馈过程使输出电压波形的边沿很陡。利用这两个特点不仅可以使边沿变化缓慢的信号整形为边沿陡峭的矩形波,还可以将噪声有效地消除]2[。

经施密特触发器整形前后的信号比较:

2.6:555定时器电路:

555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1

的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。

引脚功能:1—接地GND 2—触发 3—输出 4—复位 5—控制电压

6—门限(阈值) 7—放电 8—电源电压VCC

参数功能特性:供应电压 4.5—18V

供应电流3-6 mA

输出电流225mA (max)

上升/下降时间100 ns

存储温度范围 -65℃ 至+150℃

工作温度0℃ 至+70℃

构成多谐振荡器,组成信号产生电路:

多谐振荡器又称为无稳态触发器,它没有稳定的输出状态,只有两个暂稳态。在电路处于某一暂稳态后,经过一段时间可以自行触发翻转到另一暂稳态。两个暂稳态自行相互转换而输出一系列矩形波。多谐振荡器可用作方波发生器。

接通电源后,假定是高电平,则T截止,电容C充电。充电回路是VCC—R1—R2—C—地,按指数规律上升,当上升到时(TH、端电平大于),输出翻转为低电平。是低电平,T导通,C放电,放电回路为C—R2—T—地,按指数规律下降,当下降到时(TH、端电平小于),输出翻转为高电平,放电管T截止,电容再次充电,如此周而复始,产生振荡,振荡周期: T=(R1+2R2)Cln2]2[

设计电路定时时间为0.05s取R11=42K,R12=700K,C4=50nF。

2.7:控制信号电路:

此电路使用D 触发器对心跳信号做了2分频,则此时输出信号的高低脉冲时间为一次心跳的时间,此信号作为电路的控制信号,其实现的功能是高电平时间为计数时间,而低电平时间成为了后续电路载入N 值进入预置数计数器的时间等待下一个高电平时间开始计数处理。

控制信号仿真:

黄色信号为输入的模拟心跳信号。 蓝色信号为整形后的输出信号。 紫色信号为转换后的控制信号。

2.8:N值计数电路:

采用芯片为74LS160十进制计数芯片

管脚图介绍:

时钟输入 CP 四个数据输入端 P0—P3

清零 /MR

计数控制端 CEP,CET

置数 PE

数据输出端 Q0—Q3

进位输出端 TC

2.9:1200脉冲产生电路:

采用芯片为74LS161四位二进制计数芯片

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

中,从此时的计数值到产生溢出信号之间的计数值为N,对RCO的溢出信号计数直到1200计数器产生清零信号,这之间的计数值为心率值。

2.10心率计算及译码显示模块:

使用74LS48 BCD—数码管段码芯片

基于单片机的脉搏心率测量仪的开发与设计毕业论文

毕业设计(论文) 题目:基于单片机的脉搏测量仪的研究与设计

摘要 在传统的医疗检测中,脉象检测一直都起着非常重要的作用,人体的脉象包含着大量的人体的生理和病理方面的信息。脉诊一直是医生诊断疾病的重要手段之一,但受人为因素的影响很大。经医学观察研究表明,人体手指末端含有丰富的毛细血管和小动脉,这些动脉和人体其他地方的动脉一样,含有丰富的生理信息。由于光电脉搏检测技术具有很高的绝缘性,且抗电磁等干扰能力强,可以对人体进行无损伤检测。本文设计通过光电法对人体指尖的脉搏进行测量,并将测量信息送入单片机进行处理,最后通过数码管将测量结果显示出来。将对脉搏信号的检测模块,脉搏信息的处理模块,单片机,数码管显示模块等电路集成在一块电路板上,形成一个简易的脉搏测量仪。这种测量仪具有精确度高,体积小,价格便宜,易于操作等特点,特别适合于个人使用和家庭使用,给我们的生活带来极大方便,让我们第一时间对自己的身体状况有进一步的了解。 关键词:脉搏;光电传感器;单片机;数码管

Abstract In the traditional medical testing,the pulse condition detection has been playing a very important role.The pulse condition of the human body contains a large number of physiology information and pathology information,the pulse examination has been being one of the important means for the doctor to diagnosis the illness.But the man-made factors influence it very much,the medical observation research shows.The end of the finger contains rich capillaries and small arteries.These arteries and the other arteries of the body hold rich physiologic information.The Photoelectric pulse detecting technology can test the body without damage owing to its high insulation and strong ability to resist the electromagnetic interference.This design in the text can survey the pulse of the finger tip through photoelectric method and transport the information to the microcontroller to do with it.At last,the result is showed by the digital tube.When the electric circuit such as the detection module of the pulse signal,the processing module of the pulse information,SCM,digital tube are integrated in the board of electric circuit,it formed an simple pulse measuring instrument,this instrument has high accuracy,small, cheap,and easy to operate.It is especially suitable for personal use and family use.It brings great convenience to our life,so we can have a further understanding of our body condition. Key words: Pulse;Photoelectric transducer;SCM;Digital tube

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

(最新版)基于单片机的脉搏测量仪的设计开题报告毕业论文

本科毕业设计 ( 论文) 开题报告 题目: 基于单片机的脉搏测量仪 的设计 课 题 类 型:设计丁实验研究□论文口 学 生 姓 名: 学 号: 专 业 班 级: 学 院: 信息工程学院 指 导 教 师: 开 题 时 间 年月日 开题报告内容与要求 一、毕业设计(论文)内容及研究意义(价值) 随着科技发展的不断提高, 生命科学和信息科学的结合越来越紧密, 出现了各种新 颖 的脉搏测量仪器,特别是电子脉搏仪的出现,使脉搏测量变得非常方便。 脉诊在我 国已具有

2600 多年临床实践,是我国传统中医的精髓,但祖国传统医学采用“望、闻、问、切”的手段进行病情诊断,受人为的影响因素较大,测量精度不高。科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确的测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。 脉搏测量仪的设计,必须是通过采集人体脉搏变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏变化,最后要得出每分钟的脉搏次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏次数。在硬件设计中一般的物理信号就是电压变化。本系统的组成包括传感器、信号处理、单片机电路、显示电路、键盘输入等部分。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 随着科学技术的发展,脉搏测量技术也越来越先进,对脉搏的测量精度也越来越高,国内外先后研制了不同类型的脉搏测量仪,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。而其中关键是对脉搏传感器的研究。起初用于体育测量的脉搏测试集中在对接触式传感器的研究,利用此类传感器所研制的指脉、耳脉等测量仪各有其优缺点。指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降:耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。过去在医院临床监护和日常中老年保健中出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量,但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。 脉搏测量仪的发展主要向以下几个趋势发展: (1)自动测量脉搏并且对所得到的脉搏进行自动分析。目前很多脉搏测量仪都具有检测

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

心率测试仪设计 开题报告

五邑大学 电子系统设计开题报告题目: 院系电子信息学院 专业电子信息工程 学号 学生姓名陈伟瀚 指导教师张京玲 开题报告日期2011.9.13 五邑大学教务处制 2011年8月

说明 一、开题报告应包括下列主要内容: 1.课题来源及研究的目的和意义; 2.国内外在该方向的研究现状及分析; 3.本课题研究的主要内容; 4.具体研究方案及进度安排和预期达到的目标; 5.预计研究过程中可能遇到的困难和问题,以及解决的措施; 6.主要参考文献。 二、对开题报告的要求: 1.开题报告的字数应在2000字左右; 2.阅读的主要参考文献应不少于5篇,英文参考文献量根据专业的不同确定,本学科的基础和专业课教材一般不应列为参考资料。 3.参考文献采用顺序编码制,即在开题报告引文中按引文出现先后以阿拉伯数字连续编码,序号置于方括号内,并作为上标出现。 4.参考文献书写顺序:序号作者.文章名.学术刊物名.年,卷(期):引用起止页。

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 自拟题目。 2.国内外研究现状与水平 科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 3.研究意义和目的 脉诊是中医独创的诊断方法,这是由于人体内部各器官的健康状态可以在脉搏信息中反映出来。自古以来,脉诊一直是中医检查病人情况的一种手段。 科学已经证明脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血管系统中许多生理疾病的血流特征。 随着科学技术的发展,各个学科之间的结合越来越紧密。而心率检测仪(脉搏测量仪)就是科学发展下,信息学科与生命学科结合的一种产物。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 1.便携式心率测试仪的第一部分基本功能: 心率信号由传感器(例如光电传感器) 模块进行采集 采集后的信号经过放大和滤波(特别滤除50HZ信号的干扰),进行整形后,得到幅值在0~5v的脉冲信号 2.便携式心率测试仪的第二部分基本功能: 可选用单片机进行心率测定,在数码管上显示出被测者心率 也可选用可编程器件PLD(进行仿真)进行心率测定和显示 2.拟采取的研究方法 综合各方面因素,决定采取光电传感器来抓取心率信号。 血液是高度不透明的液体,光照在一般组织中的穿透性要比血液中大几十倍,据此特点,采用光电效应手指脉搏传感器来拾取脉搏信号。反向偏压的光敏二极

基于51单片机的心率计设计选题的目的和意义

选题的目的和意义: 在中医四诊(望、闻、问、切)中,脉诊具有非常重要的位置。它是我国传统医学中最具特色的一项诊断方法,历史悠久,内容丰富,是中医“整体观念”、“辨证论证”基本精神的体现与应用。医院的护士每天都要给住院的病人把脉记录病人每分钟心跳数,方法是用听诊器放在胸口处,根据心脏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒内的心跳数,再把结果乘以6得到每分钟的心跳数,这样做还是比较费时,而且精度也不高。为了更方便以及更精确地反应出心率地正常与否,人类发明出了脉搏计。大大的翻遍了人类对于心脏类疾病的预防和治疗。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 国内外对本课题涉及问题的研究现状: 脉搏测量仪日常生活中已经得到了非常广泛的应用。早在1860年Vierordt 创建了第一台杠杆式脉搏描记仪,五十年代末,有人研制出以酒石酸钾钠压电晶片为换能器的脉搏描在我们的记器,将中医寸、关、尺的脉搏,通过换能器转换为电能加以放大描记,初步确定了中医弦脉、滑脉、平脉等的特征图形,1959年,进行高血压弦脉及其机制的研究。 六十年代初研制的“20型三线脉象仪”,首次实现了寸、关、尺三部切脉国内20世纪50年代初朱颜将脉搏仪引用到中医脉诊的客观化研究方面。此后随着机械及电子技术的发展,国内外在研制中医脉象仪方面进展很快,尤其是70年代中期,国内天津、上海、江西等地相继成立了跨学科的脉象研究协作组,多学科共同合作促使中医脉象研究工作进入了一个新的境界。脉象探头式样很多,有单部、三部、单点、多点、刚性接触式、软性接触式、气压式、硅杯式、液态汞、液态水等多种形式。目前脉搏测量仪在多个领域被广泛应用,除了应用于医学领域,如无创心血管功能检测、妊高症检测、中医脉象、脉率检测等等,商业应用也不断拓展,如运动、健身器材中的心率测试都用到了技术先进的脉搏测量仪。压力的任意调节和客观定量测定,以及与指感基本一致的压力脉象波型的描记。该仪器在临床试用取得大量的实验数据。之后,全国各地陆续研制出各种不同换能器(如半导体硅应变片换能器,电感式压力换能器,电阻抗式换能器)的脉象仪,不断提高换能器的灵敏度,精确度,并改进探头的造型。近年来有些单位还将声像图仪、频谱分析应用于中医脉象研究。七十年代初,中国医学科学院分院利用电子学的新进展,研制出性能较好的脉搏图机,所描记的脉搏图能反映出十余种脉象。为用脉搏图形识别这些脉搏打下了初步基础。七十年代末北京中医学院采用测量脉搏图参数,进行系统分析,来描述弦、滑、细、平等脉象的脉搏图特征,从定性推进到定量。八十年代初魏韧提出多因素脉图识别法,将切脉时医师的应指感觉分解为八种成分,其不同组合构成各种脉象。还研制出MTY-A型脉图仪,在传统的波形图外尚可描记各种取脉压力下的脉搏幅度趋势图及脉管粗细图,认为可综合上述八种指感成分,因而能反映出所有各种脉象。几乎世界上所有的民族都用过"摸脉"作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

相关文档
最新文档