SOC复习答案

SOC复习答案
SOC复习答案

第一章绪论

?系统芯片

?SOC的发展

?IP核(IP core)的概念?HDL的概念

?SOC的设计理念

?实现SOC的方法

?SOC的深远影响

第二章硬件描述语言VHDL ?VHDL的特点

?VHDL支持的描述层次?VHDL的主要构件

?并发的概念和并行语句?VHDL的数据类型?VHDL 的表达式与运算符

第二章硬件描述语言VHDL ?VHDL结构体的描述方式?顺序语句和并发语句?VHDL中的信号和信号处理?仿真周期和信号的δ延迟?多值逻辑

第三章SOC的层次结构设计?SOC的硬件结构

?嵌入式处理器

?CISC 与RISC

?嵌入式软件

?RTOS

?TOP-DOWN设计方法

?系统间互连– IBM CoreConnect ?系统的仿真和测试

?SOC的性能评估

第四章SOC的实现方法

?HDPLD

?ASIC

?CPLD

?FPGA

?熔丝型开关

?反熔丝型开关

?浮置栅编程技术

第四章SOC的实现方法

?PROM

?PAL

?PLA

?GAL

?全程设计

?随机逻辑设计

?阵列逻辑设计

?标准单元设计

第四章SOC的实现方法

?门阵列

?门海阵列

?后仿真

第五章SOC的可测试性

?可测试设计的意义

?测试生成

?故障覆盖率

?故障模型

?可测试性分析

?故障模拟

?可测性结构设计

?边界扫描测试技术

复习题(名词解释)

?故障模拟:在指定的输入向量中插入故障,应用故障模拟算法,测试输入向量的故障覆盖率。

?嵌入式系统:这是计算机应用的一种方式,是一个相对的概念,嵌入式系统是一个较大系统的子系统,可

以处理复杂的、但是比较单一的任务。

?Top-Down设计:降低难度--维护;多人合作--进度;结构调整--工艺

?门海阵列:不预留布线通道,基本单元占据整个阵列分布区。

?CISC:Complex Instruction Set Computer

?并行处理语句:并行处理语句位于关键字BEGIN和END之间,是结构体功能描述的主要语句。这些语句是并发执行的,即运算结果与语句的先后顺序无关。

?RTL描述:(Register Transform Level 又称数据流描述)实体描述方法

?VHDL语言中的同步点:在进程中,信号的当前值仅在特定时刻发生变化和更新,这个特定时刻称为同步点。?测试矢量:仿真信号

?延时转移:这是处理指令转移的一种方法。当遇到条件转移时(无法预测转移结果),将转移指令与其后的指令交换位置,先执行后条指令,再执行转移指令,以利指令的流水操作,确保一个机器周期执行一条指令。

?浮栅编程技术:

闪速存储器

?CPLD:复杂可编程逻辑器件

?FPGA:现场可编程门阵列

?IP核:IP core 是已经通过功能验证的设计成果,可以是一个完整的系统,也可以是一个子系统。表现形式主要是“硬件描述语言”。

?RISC:SOC 中的嵌入式精简指令集处理器

?仿真周期:处理某时刻所发生的所有事件和被这些事件所激活的所有的进程的全过程称为一个仿真周期。?δ延迟:对每个信号量的赋值和信号量值的更新时刻之间存在延迟,这个延迟可以用时间表达式指定,也可以是0延迟,0延迟又称为δ延迟。

?多值逻辑:不同制造工艺(ECL,TTL,CMOS)的器件应用于一个系统,由于这三种工艺的驱动能力不同,就带来了多值逻辑的问题。

?RTOS:(Real Time Operational System)实时操作系统

?IP核:

?嵌入式处理器:在SOC中,可以集成有一个或若干个处理器,他们可以是:“RISC处理器”、“DS”P、“专用指令集处理器”组成 ISA ( Instruction Set Architecture)。

?哈佛总线结构:程序存储器总线和数据存储器总线相互独立。采用指令和数据高速缓存(Cache),以提高数据带宽,增加数据传送吞吐量。

?延时转移:

复习题(判断)

?SOC是把系统的处理机制、模型算法、芯片结构、各层次电路直到器件的设计紧密结合,在一个单芯片上完成整个系统的功能。(正确)

?SOC所倡导的设计方法是Bottom-Up设计方法。(错误)

?JTAG目前还没有被IEEE制定成为国际标准。(错误)

?RISC处理器有利于指令的并行处理。(正确)

复习题(判断)

?VHDL不能描述硬件的并行特性。(错误)

?硬件描述语言能够描述硬件的并行性特点。(正确)

?SOC所倡导的设计方法是Top-Down设计方法。(正确)

?VHDL目前还没有被IEEE制定成为国际标准。(错误)

复习题(判断)

?RTL描述是指行为描述。(错误)

?CISC处理器有利于指令的并行处理。(错误)

?可测试性设计是SOC设计中必不可少的。(正确)

?半导体芯片的流片生产就是用可编程器件实现芯片功能。(错误)

复习题(判断)

?Top-Down设计方法适用于SOC的设计。(正确)

?Bottom-Up就是RTL描述。(错误)

?桥接故障是指芯片中的互连线之间发生短路。(正确)

?SOC的设计以IP核为基础,以硬件描述语言为系统功能的主要描述手段。(正确)

复习题(简答题)

?简述故障模拟的方法和特点。

答:方法:1.并行故障模拟;2.演绎故障模拟;3.同时故障模拟。

特点:

?简述一个优化的可测试性结构设计应具有的四个特点。

答:

?简述RISC处理器的结构特点和指令特点。

答:RISC所应具有的特点:

1.格式简单、长度固定的指令系统

2.短周期时间

3.单周期执行指令4.Load(取)/Store(存)结构

5.硬连线控制

6.大寄存器堆(Register file)

7.哈佛(Harvard)总线结构

8.高效的流水线操作

9.延时转移

10.重迭寄存器窗口技术

11.优化编译器

?简述SOC的结构特点和设计方法。答:硬件和软件

?简述CPLD和FPGA的区别。

答:

复习题(简答题)

?

简述VHDL 包括哪些主要构件。

答:

?

简述可测试性设计的概念。

答:可测试性设计是在电子系统的设计阶段就考虑电路的可测试性,附加设计一些必要的协议和硬件,使设计所得到的系统易于测试、易于生成测试向量,以简化测试。

?

简述目前两个实现SOC 途径的各自特点。 答:

?

请说出CISC 处理器的四个特点。

答: (1)指令格式不固定,指令长度不一致,操作数可多可少; (2) 寻址方式复杂多样,以利于程序的编写;

(3) 采用微程序结构,执行每条指令均需完成一个微指令序列;

(4) 每条指令需要若干个机器周期才能完成,指令越复杂,花费的机器周期越多;

?

简述SOC 可测试性设计的必要性。

答:与SOC 内部的节点相比,SOC 封装的外部引脚少得可怜,随着系统规模的不断扩大,不考虑可测试性的、无约束的设计将使测试工作事实上成为不可能。

可测试性设计是必不可少的 ----- 测试成本的制约

(测试开销与电路规模的关系)

复习题(简答题)

?

简述用HDPLD实现SOC 的优缺点。

答:优点:开发成本低,只需前端开发;可以多次编程,设计灵活。

缺点:生产成本高。芯片面积利用率低。工作速度低。逻辑资源有限。不能适应所用的设计。?请说出可编程逻辑器件按编程特性可分为几类,各是什么。

答:3类。

1)一次性编程反熔丝(OTP)。

2)可擦除可编程逻辑器件EPLD,包括紫外线擦除和电擦除(E2PLD)。

3)基于静态存储器(SRAM)的编程结构。

复习题(编程题)

?用VHDL语言描述一个八位计数器电路。

?用VHDL语言设计一个具有使能控制端的4~16译码器。

?用VHDL语言设计一个带有异步复位和置位的8位寄存器。

?用VHDL语言中的IF语句设计D触发器。

?用VHDL语言设计一个具有异步复位,同步清零和计数允许的n bit加1计数器。

复习题(编程题)

?请用VHDL描述一个同步数字电路实现下面的状态转换图。

电路的输入端口:clk, reset, nw。

复习题(编程题)

?请用布尔差分法证明下图所示的组合逻辑电路中x2处的故障是冗余故障。

复习题(编程题)

?请根据下面的真值表,用VHDL语言设计一个8线—3线编码器,要求采用数据流描述方式进行设计。

SOC设计方法与实现

关于对 《SoC设计方法与实现》的一点认识 '

| 目录 摘要 (3) 一 SoC概述 (3) 二SoC设计现状 (4) 1 芯核的设计流程 (7) 2 软硬件协同设计的流程 (8) 3 Soc的系统级设计流程 (8) 三 SoC发展的现状 (10) ( 1 SoC在中国发展的现状 (10) 2 国外SOC的发展现状 (11) 四SOC的未来发展趋势 (12) ;

\ 摘要 通过将近四周的学习,我已经对SoC有了一些基本的认识。在任课教师的指导下,我完成了此篇论文。本文主要从什么是SoC ,SoC 有什么用途,SoC的设计,SOC发展的现状和未来趋势这五个方面来简单论述的,在论述的过程中查阅了一部分文献资料,并且兼顾含有了集成电路的相关知识。 关键词 SoC 用途发展趋势 一 SoC概述 \ 随着集成电路1技术进入新的阶段,市场开始转向追求体积更小、成本更低、功耗更少的产品,因此出现了将多个甚至整个系统集成在一个芯片2上的产品––系统芯片(system on a chip,SoC)。系统芯片将原来由多个芯片完成的功能,集中到单个芯片中完成。更具体地说,它在单一硅芯片上实现信号采集、转换、存储、处理和I/O等功能,或者说在单一硅芯片上集成了数字电路、模拟电路、信号采集、 1 1952年5月,英国皇家研究所的达默就在美国工程师协会举办的座谈会第一次提到了集成电路的设想。他说:“可以想象,随着晶体管和半导体工业的发展,电子设备可以在一块固体块上实现,而不需要外部的连接线。这块电路将有绝缘层、导体和具有整流放大作用的半导体等材料组成”,这就是最早的集成电路的概念。 2通常所说的“芯片”是指集成电路,它是微电子产业的主要产品。

《现代SOC设计技术》学习小结

《现代SOC设计技术》学习小结 目录 一、SOC的概念 二、前端设计和后端实现 三、可测性设计 四、软硬件协同技术 五、验证技术 六、低功耗技术 七、IP复用技术 一、SOC概念 SOC(System on Chip)中文翻译为片上系统、系统级芯片等,由超大规模集成电路发展而来。从狭义上理解,SOC即把系统关键部件集成的到一张芯片上;而从广义上理解,SOC本身就是一个小型系统。 SOC的发展由市场和技术共同推动。20世纪90年代,计算机、通信、电子产品以及军事等领域需要大量高集成度的集成电路,于是集成电路向集成系统转变。这种转变的表现,一方面,IC品种增加、规模扩大、性能提高、上市时间缩短,并且IC标准化形成;另一方面,微电子技术不断发展,计算机性能提高,EDA综合开发工具性能提高,硬件描述语言公布。相比于IC,SOC具有的优势有:功耗低、体积小、速度快、功能丰富、节省成本。 IP核是SOC设计的基本单元。IP核是已经设计好经过验证的具

有特定功能的电路模块。在设计SOC时可以直接使用IP核。IP核分为软核、硬核和固核。软核指RTL级描述的核,一般是HDL代码,也就是源代码。它不依赖工艺,灵活性好,价格很贵。硬核指电路版图形式的核,不能被修改。它需要预先布局,可靠性高,价格低。固核介于软核和硬核之间,属于门级网表形式,固核需要使用者布局布线,有一定的灵活性。 SOC设计是基于核的设计,也就是将系统按功能分为若干块,组合不同的IP核,集成为特定功能的芯片的过程。但是这不意味着,简单的组合IP核就够了,还需要IP核的测试复用和结构上的精心设计。通常利用IP模块可以简化系统设计,但是对开发者理解IP模块有了更高的要求,时序一致性的问题也会凸显。这个问题推动了IP 模块的标准化。代表性的SOC标准化组织是美国的VSIA。 SOC的技术的特征有:复杂的系统功能、软硬件结合、含有一个或多个芯核(微处理器MPU、微控制器MCU、数字信号处理器DSP等)、采用深亚微米或超深亚微米工艺实现。 随着计算机、通信、手持设备等对IC的需求不断增加。IC的发展由元件到单元,再到RTL,现在为IP核。集成电路会继续朝着SOC 发展。 我国的SOC产业从20世纪90年代开始逐步发展。现在基本分为三大产业:设计、制造和封装。封装测试业占的比重约70%。在我国SOC发展的重点有高端通用芯片、网络通信、数字家电、信息安全、工业控制、生物医疗、IP核。

SoC系统级软件测试

f u n c t i o n a l v e r i f i c a t i o n W h i t e P a P e r Hybrid eSl/rtl co-verification platform for SyStem level Software teSting piotr K. luSzczaK, mentor grapHicS prepared for presentation at arm techcon 2011 (class code: atc-100)

AbstrAct Here’s one of the most oft-cited facts of semiconductor device design today: the increasing complexity of socs and multicore designs continues to create new verification challenges. Virtual platforms address such challenges by abstracting designs to the transaction. However, such platforms introduce new problems, including that hardware-software interface debugging is limited to high-level abstract EsL models. combining tLM 2.0 and rtL models allows for detecting hardware and software issues in greater detail than is possible with transaction level models alone. this paper discusses an EsL/rtL model swapping technique that gives flexibility to debug and analyze systems at any development stage and on any hardware representation. the benefits of the technique include greater accuracy, optimized performance and faster product delivery. introduction According to the latest surveys, most SoC designs include one or more processors. This trend is due to the increased cost of hardware verification for custom logic, the relatively flat cost of IP development and the broad availability of synthesizable, high-performance and low-power processors. Verifying devices that include processors requires new techniques since hardware and software are involved in the final product. There are many approaches to this complex problem. One is traditional stimulation of the entire subsystem using sequences based on the combination of constrained random and direct tests (the latter to cover corner cases). While the algorithmic approach enables relatively fast coverage closure, it does not include system-level software execution on the processor. Typical examples of system software include BIOS or device firmware. The processor’s firmware in particular can only be verified in relation to the hardware, thus the need for a system-level test that exercises the program and checks if all elements of the integrated system are functioning properly. Running software on the processor model can be very slow during event-driven simulation at the RTL level. One way to speed things up is to abstract the design to the transaction level and simulate the SoC using a virtual platform. Executing software on such a platform generates TLM 2.0 transactions. figure 1: General esl flow, including modeling, assembly, verification, analysis and the eventual virtual prototype

SOC的软硬件协同设计方法和技术

SOC的软硬件协同设计方法和技术 摘要: 随着嵌入式系统与微电子技术的飞速发展,硬件的集成度越来越高,这使得将CPU、存储器和I/O设备集成到一个硅片上成为可能,SOC应运而生,并以其集成度高、可靠性好、产品问世周期短等特点逐步成为当前嵌入式系统设计技术的主流。传统的嵌入式系统设计开发方法无法满足Soc设计的特殊要求,这给系统设计人员带来了巨大的挑战和机遇,因此针对Soc的设计方法学己经成为当前研究的热点课题。 论文首先分析了嵌入式系统设计的发展趋势,论述了传统设计开发方法和工具的局限性,针对Soc设计技术的特点探究了Soc软硬件协同设计方法的流程,并讨论了目前软硬件协同设计的现状。 关键词: 软硬件协同设计,可重用设计,SOC 背景: 计算机从1946年诞生以来,经历了一个快速发展的过程,现在的计算机没有变成科幻片电影中那样贪婪、庞大的怪物,而是变得小巧玲珑、无处不在,它们藏身在任何地方,又消失在所有地方,功能强大,却又无影无踪,这就是嵌入式系统。嵌入式系统是以应用为中心、计算机技术为基础、软件硬件可剪裁、适应应用系统对功能、可靠性、成本、体积、功耗严格要求的专用计算机系统。嵌入式系统是将先进的计算机技术、微电子技术和现代电子系统技术与各个行业的具体应用相结合的产物,这一点决定了它必然是一个技术密集、高度分散、不断创新的知识集成系统。嵌入式系纫‘泛应用于国民经济和国防建设的各个领域,发展非常迅速,调查数据表明,嵌入式系统的增长为每年18%,大约是整个信息技术产业平均增长的两倍[1],目前世界上大约有2亿台通用计算机,而嵌入式处理器大约60亿个,嵌入式系统产业是二十一世纪信息产业的重要增长点。 随着集成电路制造工艺的飞速发展,嵌入式系统硬件的集成度越来越高,这使得将嵌入式微处理器、存储器、I/O设备等硬件组成部件集成到单个芯片上成为可能,片上系统SoC (System on Chip)应运而生[2]。SOC极大地缩小了系统体积;减少了板级系统SoB(System on Board)中芯片与芯片之间的互连延迟,从而提高了系统的性能; 强调设计重用思想,提高了设计效率,缩短了设计周期,减少了产品的上市时间。因此SOC以其集成度高、体积小、功耗少、可靠性好、产品问世周期短等优点得到了越来越广泛地应用,并且正在逐渐成为当前嵌入式系统设计的主流技术[3]。但Soc设计不同于传统嵌入式系统的开发,如何快速、有效地开发和设计Soc产品是当前嵌入式设计开发方法学的一个十分重要的研究领

SOC中几个常见的问题

SOC 芯片并行测试中几个值得关注的问题 王晔 (上海集成电路技术与产业促进中心, 上海201203) 摘要: 介绍了提高测试效率的SOC 芯片在片测试的两种并行测试方法, 结合上海集成电路 技术与产业促进中心的多个实际的SOC 芯片测试项目中所积累的成功经验, 针对 多工位测试和 多测试项目平行测试这两种并行测试方法, 主要阐述了在SOC 芯片的并行测试中 经常遇到的影 响测试系统和测试方法的问题, 提出了在SOC 芯片在片测试中的直流参数测试、功能测试、模 数/ 数模转换器(ADC/ DAC) 测试的影响因素和解决方案, 并对SOC 芯片在测试过程中经常遇到 的干扰因素进行分析, 尽可能保证SOC 芯片在片测试获得的各项性能参数精确、可靠。 关键词: 片上系统; 多工位并行测试; 多项目平行测试; 模数/ 数模转换器; 直流测试; 功能测试 中图分类号: TN307 文献标识码: A 文章编号: 1003 - 353X (2010) 12 - 1199 - 05 Some Focus Issues in SOC Chip Parallel Testing Wang Ye ( Shanghai IC Technology & Industry Promotion Center , Shanghai 201203 , China) Abstract : Two parallel testing methods to greatly improve the efficiency of the SOC testing were described. Integrated with the successful experiences of many SOC testing projects from the Shanghai IC technology and industry promotion center , aiminng at these two test methods of multi2sites testing and multi2 instances testing , the problems to frequently impact the test system and test methodology in the SOC parallel test were mainly described. The impact factors and solutions for DC parametric testing , functional testing , ADC/ DAC testing of the SOC testing were put forword and the interfering factors to appear in the SOC testing prdees were analysed for ensuring that the performance of the SOC testing accurate and reliable as much as possible. Key words : system on chip (SOC) ; multi2sites parallel testing ; multi2instances testing ; ADC/ DAC; DC testing ; pattern testing EEACC : 2570A 0 引言 SOC是近年来得到迅速发展的超大规模集成电路 主流技术。SOC 芯片具有面积小、功耗低、低成本、

SOC系统集成测试用例和记录文本

地铁交通6号线自动售检票系统(AFC)SOC系统集成测试用例和记录 编写人员:方亚敏 编写日期:2011.12.22

目录 1用户管理5 1.1用户更改5 1.2用户签退6 1.3用户超时退出7 2SOC监控 8 2.1设备事件信息监控(需详细列出每个终端设备会出现的所有状态)8 2.2设备状态信息监控(需详细列出每个终端设备会出现的所有状态)9 2.3SNC状态监控10 3系统管理11 3.1操作日志11 3.2数据迁移12 3.3时钟同步13 3.4网络诊断14 3.5启动VNC 15 3.6关闭SNC 16 3.7关闭SOC 17 4设备操作18 4.1命令下发18 4.2模式切换24 4.3寄存器查询30 4.4状态查询31 4.5当前参数版本查询 32 4.6将来参数版本查询 34 4.7软件版本查询35 4.83014重新下发36 4.9参数重新下发37 4.10交易数据补发38 4.11软件更新39 4.12图片更新40 4.13系统当前状态41 4.14启动紧急模式42 5数据查询43 5.1BOM签到/签退查询43 5.2操作员查询44 6设备日故障统计45 6.1GATE故障报告统计45 6.2BOM故障报告统计46

6.3TVM故障报告统计47 6.4ISM故障报告统计48 7参数查看(LC下发)与AGM、TVM、BOM相关的参数下发后需增加下发设备端的用例49 7.11041-车站配置49 7.22000-线路部通讯参数50 7.33002-AFC设备运营参数 51 7.43003-TVM运营参数52 7.53004-BOM运营参数53 7.63005-闸机运营参数54 7.73006-车站名称/线路设备表55 7.83007-线路名称表56 7.93008-系统故障代码表57 7.103009-操作员表58 7.113010-线路本地语言资源文件59 7.123011-清分系统本地语言资源文件 60 7.133014-设备节点标识码设置表61 7.143082-站换乘映射关系表62 7.153085-出站换乘站映射关系表63 7.164001-节日表64 7.174002-车票类型表65 7.184003-费率表66 7.194004-区域表67 7.204006-非高峰时刻表68 7.214007-车票黑表-全量69 7.224008-车票黑表-增量70 7.234009-车票类型关系对应表71 7.244015-移动手机票类型关系对应表 72 8报表73 8.1报表73

基于ARM的SoC设计入门.

基于ARM的SoC设计入门 2005-12-27 来源:电子工程专辑阅读次数: 1033 作者:蒋燕波 我们跳过所有对ARM介绍性的描述,直接进入工程师们最关心的问题。 要设计一个基于ARM的SoC,我们首先要了解一个基于ARM的SoC的结构。图1是一个典型的SoC的结构:

图1 从图1我们可以了解这个的SoC的基本构成: ARM core:ARM966E

?AMBA 总线:AHB+APB ?外设IP(Peripheral IPs):VIC(Vector Interrupt Controller), DMA, UART, RTC, SSP, WDT ?Memory blocks:SRAM, FLASH ?模拟IP:ADC, PLL 如果公司已经决定要开始进行一个基于ARM的SoC的设计,我们将会面临一系列与这些基本构成相关的问题,在下面的篇幅中,我们尝试讨论这些问题。 1. 我们应该选择那种内核? 的确,ARM为我们提供了非常多的选择,从下面的表-1中我们可以看到各种不同ARM内核的不同特点:

表1 ARM已经给出了基本的参考意见:

?如果您在开发嵌入式实时系统,例如汽车控制、工业控制或网络应用,则应该选择Embedded core。 ?如果您在开发以应用程序为主并要使用操作系统,例如Linux, Palm OS, Symbian OS 或Windows CE等等,则应选择Application core。 ?如果您在开发象Smart card,SIM卡或者POS机一样的需要安全保密的系统,则需要选择Secure Core。 举个例子,假如今天我们需要设计的是一个VoIP电话使用的SoC,由于这个应用不需要使用到操作系统,所以我们可以考虑使用没有MMU的内核。另外由于网络协议盏对实时性的要求较高,所以我们可以考虑ARM9系列的内核。又由于VoIP有语音编解码方面的需求,所以需要有DSP功能扩展的内核,所以ARM946E-S或ARM966E-S应该是比较合适的选择。 当然,在实际工作中的问题要比这个例子要复杂的多,比如在上一个例子中,我们也可以选择ARM7TDMI内核加一个DSP的解决方案,由ARM来完成系统控制以及网络协议盏的处理,由单独的DSP来完成语音编解码的功能。我们需要对比不同方案的面积,功耗和性能等方面的优缺点。同时我们还要考虑Cache size,TCM size,实际的内核工作频率等等相关问题,所以我们需要的一个能构快速建模的工具来帮助我们决定这些问题。现在的EDA工具为我们提供了这样的可能,例如Synopsys?的CCSS(CoCentric System Studio)以及Axys?公司的Maxsim?等工具都可以帮助我们实现快速建模,并在硬件还没有实现以前就可以提供一个软件的仿真平台,让我们在这个平台上进行软硬联仿,评估我们设想的硬件是否满足需求。 2.我们应该选择那种总线结构? 在提供内核给我们的同时,ARM也提供了多种的总线结构。例如ASB,AHB,AHB lite,AXI等等,在定义使用何种总线的同时,我们还要评估到底怎样的总线频率才能满足我们的需求,而同时不会消耗过多的功耗和片上面积。这就是我们平时常说的Architecture Exploration的问题。 和上一个问题一样,这样的问题也需要我们使用快速建模的工具来帮我们作决定。通常,这些工具能为我们提供抽象级别很高的TLM(Transaction Level Models)模型来帮助我们建模,常用的IP在这些工具提供的库中都可以找到,例如各种ARM core,AHB/APB BFM(Bus Function Model),DMAC以及各种外设IP。这些工具和TLM模型提供了比RTL仿真快100~10000倍的软硬联仿性能,并提供系统的分析功能,如果系统架构不能满足需要,那么瓶颈在系统的什么地方,是否是内核速度不够?总线频率太低?Cache太小?还是中断响应开销太多?是否需要添加DMA?等等,诸如此类的问题,我们多可以在工具的帮助下解决。

SOC设计方法

SOC设计方法 时间:2011-01-13 19:02:31 来源:作者: 本文通过对集成电路IC技术发展现状的讨论和历史回顾,特别是通过对电子整机设计技术发展趋势的探讨,引入系统芯片(System on Chip,简称SOC)的定义,主要特点及其设计方法学等基本概念,并着重探讨面向SOC的新一代集成电路设计方法学的主要研究内容和发展趋势。 关键词:SOC 软硬件协同设计超深亚微米高层次综合IP核设计再利用引言 人类进入21世界面临的一个重要课题就是如何面对国民经济和社会发展信息化的挑战。以网络通信、软件和微电子为主要标志的信息产业的飞速发展既为我们提供了一个前所未有的发展机遇,也营造了一个难得的市场与产业环境。 集成电路作为电子工业乃至整个信息产业的基础得益于这一难得的机遇,呈现出快速发展的态势。以软硬件协同设计(Software/Hardware Co-Design)、具有知识产权的内核(IP核)复用和超深亚微米(Very Deep Sub-M集成电路ron,简称VDSM)技术为支撑的SOC是国际超大规模集成电路(VLSI)的发展趋势和新世纪集成电路的主流。 与此同时,集成电路设计技术的进步滞后于集成电路制造技术的进步已成为制约未来集成电路工业进一步健康发展的关键。传统的、基于标准单元库的设计方法已被证明不能胜任SOC的设计;现行的面向逻辑的集成电路设计方法在深亚微米集成电路设计中遇到了难以逾越的障碍;芯片设计涉及的领域不再局限于传统的半导体而且必须与整机系统结合;集成电路设计工程师们从来没有像今天这样迫切地需要汲取新知识,特别是有关整机系统的知识。所以尽快开展面向SOC的新一代集成电路设计方法学研究对于推动集成电路的发展是至关重要的。 回顾20世纪后半叶集成电路工业的历史,不难看出著名的MOORE(摩尔)定律一直在准确地描述着集成电路技术的发展。专家们普遍认为,在新的世纪中,这一著名定律仍将长期有效。尽管MOORE定律揭示的集成电路工艺技术的进步规律是那样的诱人,且其发展速度之高在现代社会是少有的,但是今天正在蓬勃发展的网络技术的进步相比(见图1)还是相形见绌,远远不能满足信息产业发展的要求。

SoC层次化测试方法

D E S I G N -T O -S I L I C O N W H I T E P A P E R DIVIDE AND CONQUER: HIERARCHICAL DFT FOR SOC DESIGNS RICK FISETTE, MENTOR GRAPHICS

INTRODUCTION Large System on Chip (SoC) designs present many challenges to all design disciplines, including design-for- test (DFT). By taking a divide-and-conquer approach to test, significant savings in tool runtime and memory consumption can be realized. This whitepaper describes the basic components of a hierarchical DFT methodology, the benefits that it provides, and the tool automation that is available through Mentor’s Tessent tool suite. WHAT IS HIERARCHICAL DFT? For large SoC devices, the front-end and physical design practices are typically performed at a core level. Whether it’s called a core, block, tile, macro, or module they all refer to the level of hierarchy at which design tasks are completed. These completed cores are then integrated into the SoC. Hierarchical DFT refers to the practice of implementing all DFT with respect to these same core hierarchical boundaries. The test patterns for these cores are then applied individually or in groups from the SoC level. With hierarchical DFT, once a core design is complete it means it’s DFT is complete as well, and that it includes a set of patterns that can be used to test the core regardless of how it gets integrated into an SoC. Cores can be tested individually, in groups, or all together; whatever best suits the test plan and available pin resources in the SoC. Interconnect between cores and chip-level glue logic are then tested separately and the coverage for all test modes is combined into a single comprehensive coverage report. WHY ADOPT A HIERARCHICAL DFT METHODOLOGY? A hierarchical DFT methodology solves many issues that are often encountered with the insertion of DFT structures and running ATPG for large SoCs. Some of the most common and compelling problems that can be mitigated with hierarchical DFT include the following: LONG ATPG RUNTIMES As netlist sizes grow so does the runtime for scan ATPG. It is not unusual for pattern generation to take many hours or even many days depending on the fault model and design size. LARGE MEMORY FOOTPRINT The memory required for loading an entire SoC design into the workstation for ATPG can require 10s of Gb, if not more than 100 Gb. This severely limits how many machines can be used, if indeed, any machines have the required amount of memory. Even if those machines are available then there is often competition with other design disciplines (e.g. physical design/verification) for using these resources. DFT IN THE CRITICAL PATH TO TAPEOUT Traditional DFT methodologies require that the full-chip netlist be finalized before production test patterns can be generated. This requirement places DFT squarely in the critical path to tapeout. To further complicate this situation any late (even minor) changes made prior to tapeout to address functional bugs will mean throwing away any existing patterns and restarting that process, potentially delaying tapeout. LIMITED CHIP PINS FOR DFT It is common to have very large SoCs that have relatively few chip-level pins available for DFT purposes. Especially with core-based designs where the number of cores can far exceed the number of chip-level pins. One potential solution is to concatenate chains from one core to another, but this can result in very long shift

soc试题库

1.目前,集成电路产业链主要包括设计、制造、封装和测试。 2.一个完整的SoC设计包括系统结构设计,软件结构设计和硬件设计。 3.SOC按用途可分为专用SOC芯片类型和通用SOC芯片类型。 4. SOC中常用处理器的可分为通用处理器、数字信号处理器、可配置处理器。 5. SOC 中典型的存储器包括SRAM 、SDRAM、DDRAM、ROM、和 flash 。 6. 目前的ESL 工具通常采用工业标准语言进行建模,如C/C++、system c 、systemVerilog 等。 7. SOC 中常用的总线主要包括AMBA 总线、AVALON 总线、CoreConnect 总线、和Wishbone 总线。 8. 总线设计需要考虑的因素主要包括总线宽度、时钟频率、仲裁机制、传输类型。 9.IP 核依设计流程不同,可分为:软核、固核和硬核。 10. SOC的英语全称是system on chip 。 11.目前的集成电路设计理念中IP 是构成SOC的基本单元。 12. 当前的SOC的设计正朝着速度快、容量大、体积小、质量轻、功耗低的 方向发展。 13. SoC的设计趋势正从RTL级向电子系统级(ESL,Electronic System Level) 转移。 14. ESL设计分成可分成三步,其包括:功能设计、基于应用的架构设计、基于平台 的架构设计。 15. 验证方法可以分为动态验证、静态验证。 16. 常用的可测性设计包括:内部扫描测试设计、边界扫描测、自动测试矢量生成、存 储器内建自测试。 17.EDA布局布线流程包括:布局规划、布局、器件放置、时钟树综合、布线。 18. 世界IC 产业为适应技术的发展和市场的要求,其产业结构经历了 3 次重大变革分别 是:以生产为导向的初级阶段、FOUNDRY与FABLESS设计公司的崛起阶段、“四大分离”的IC 产业阶段。 19.SOC 的系统架构设计的过程可以分为 3 个阶段分别是:功能设计阶段、应用驱动的 系统架构设计阶段、平台导向的系统架构设计阶段。 20. 目前市场上主要的两种flash 分别是:norfalsh 、nandflash 。 21、用于多核SOC性能的两条定律分别是:阿姆达定律、古斯塔夫森定律。 22、目前几种典型的多核SOC系统架构分别是:片上网络、可重构SOC、TI 开放式多媒体应用平台(OMAP)架构。 23、ESL设计的核心是事务级建模。 24、ESL 设计流程包含: 系统级描述、体系架构设计、软硬件划分、软硬件协同设计和 验证。 25、事务级模型可分为 3 种:没有时序信息的模型、周期近似的模型、精确到每个周期 的模型。 26、事务层是介于算法抽象层、和RTL抽象层之间。 27、一个完整的IP 硬核应该包含以下模型:功能模型、时序模型、功耗模型、测试模 型、物理模型。 28、IP 验证的策略包括,兼容性验证、边界验证、随机验证、应用程序验证、回归验

SOC测试读书报告

soc实验报告 一、实验目的 1、了解soc系统的结构和基本内容; 2、了解fpga基本工作原理和内容; 3、了解fpga的基本开发过程 4、学会使用xilinx ise软件进行设计、仿真、综合、下载调试; 5、熟悉fpga设计实验的软硬件环境,加深对polestar实验版的认识,为后面的实验 的学习做好准备。 二、实验设备 pc主机、xilinx ise开发软件、polestar实验平台 三、实验原理 1、 soc 嵌入式soc:是指在嵌入式系统中广泛应用的,有专门应用范围的soc芯片,是在单个 芯片上集成一个完整的系统,对所有或部分必要的电子电路进行包分组的技术。所谓完整的 系统一般包括中央处理器、存储器、以及外围电路等。具体地说, soc设计的关键技术主要 包括总线架构技术、ip核可复用技术、软硬件协同设计技术、soc验证技术、可测性设计技 术、低功耗设计技术、超深亚微米电路实现技术等,此外还要做嵌入式软件移植、开发研究, 是一门跨学科的新兴研究领域。 2、 fpga fpga(field-programmable gate array),即现场可编程门阵列,它是在pal、gal、 cpld等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(asic)领域中的一 种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限 的缺点。fpga具有以下特点: 1)采用fpga设计asic电路(特定用途集成电路),用户不需要投片生产,就能得到合用 的芯片。 2)fpga可做其它全定制或半定制asic电路的中试样片。 3)fpga内部有丰富的触发器和i/o引脚。 4)fpga是asic电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) fpga采用高速chmos工艺,功耗低,可以与cmos、ttl电平兼容。 可以说,fpga芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 fpga是 由存放在片内ram中的程序来设置其工作状态的,因此,工作时需要对片内的ram进行编程。 用户可以根据不同的配置模式,采用不同的编程方式。 3、 fpga的简单开发流程 1)、需求分析到模块划分 需求说明文档 器件选择:逻辑资源,功耗,i/o数量,封装等 配置电路考虑 开发工具选择 电路板的可扩展性考虑 在线调试和班级天使考虑 分模块的设计 2)、设计输入到综合优化 设计输入:原理图/verilog/vhdl 综合:是指将较高层次的电路描述转化为较低层次的电路描述。

SoC 测试概念

SoC测试的概念及实例详解 本文主要介绍了一个具有可测性设计和可制造性设计的新型单片系统,该系统由硬盘控制器(HDC)、16位微控制器、微控制器使用的程序和数据SRAM以及用8M位DRAM实现的片上缓存组成,再加上时钟综合PLL、带外部旁路晶体管的稳压器使用的片上控制电路组成一个完整的系统。该器件采用的是0.18μm的铜工艺,与前几代技术相比增加了性能、降低了功耗。另外,DRAM也采用了深亚微米技术,因此在一个器件中可以包含进一个完整的系统缓存(1MB)以及自动刷新逻辑,而且使用的硅片面积还比以前小。 本文还讨论了DFT和DFM所采取的对策,包括为了实现更快的良品率学习曲线而采用面向分析工具的设计、为减少测试成本而采取的并行测试方法。DFT和分析存取是通过IEEE 1149.1的JTAG控制器实现的。除了专门的存储器测试和ATPG扫描外,JTAG控制器还能为组成完整SoC的各个不同单元提供各种测试模式配置。所采用的设计对策决不是只有唯一一种可能性。由于存储器在器件中占了45%的硅片面积和86%的晶体管数量,因此需要对存储器加以重点关注。存储器测试是重点考虑和努力开发的对象。

图1:扫描模式配置。 SRAM有两种测试方法,具体取决于SRAM在系统中的用途:CPU存储器(代码和数据)是通过微控制器进行测试的,需要特殊硬件配置和测试模式的支持;与HDC相关的SRAM采用存储器BIST电路进行测试。DRAM则通过BI ST控制器进行测试,而DRAM BIST自身利用扫描和ATPG进行测试。大多数数字逻辑是完全综合过的,而所有数字逻辑都要经过ATPG扫描测试。另外,象PLL和稳压器控制等模拟电路则采用特殊编制的程序在特殊测试模式下进行测试。本文首先介绍系统级芯片本身,包括SRAM和嵌入式DRAM,然后简要讨论用于指导DFT和DFM开发工作的分析与生产测试对象,最后阐述了SoC中采取的分析和生产测试对策。 系统级芯片概要 为了有助于了解生产测试与分析所采取的对策,首先让我们看一下SoC 的一些细节,当然本文提到的所有性能都需要进行测试。这款SoC的主要系统组件有:16位微控制器、ASIC逻辑(硬盘控制器或HDC)、微控制器使用的S RAM、片上缓冲DRAM、时钟综合PLL、硅工艺-电压-温度(PVT)传感器以及带外部旁路晶体管的稳压器用的片上控制电路。 1.微控制器 这款SoC中的微控制器是C173系列处理器的衍生产品,是专门为控制应用设计的16位器件。除了16位的C163内核外,它还有一个乘法累加单元(M AC)、外围通用定时器(GPT)、异步和同步串行控制器(ASC,SSC)和脉宽调制器(PWM)。整个微控制器是由综合过的逻辑实现的,可以很方便地在应用之间移植。

SOC芯片介绍

关于SoC芯片设计技术 什么是SOC 随着设计与制造技术的发展,集成电路设计从晶体管的集成发展到逻辑门的集成,现在又发展到IP的集成,即SoC(System on a Chip)设计技术。SoC 可以有效地降低电子/信息系统产品的开发成本,缩短开发周期,提高产品的竞争力,是未来工业界将采用的最主要的产品开发方式。虽然SoC一词多年前就已出现,但到底什么是SoC则有各种不同的说法。在经过了多年的争论后,专家们就SoC的定义达成了一致意见。这个定义虽然不是非常严格,但明确地表明了SoC的特征: 实现复杂系统功能的VLSI; 采用超深亚微米工艺技术; 使用一个以上嵌入式CPU/数字信号处理器(DSP); 外部可以对芯片进行编程; 怎样去理解 SoC中包含了微处理器/微控制器、存储器以及其他专用功能逻辑,但并不是包含了微处理器、存储器以及其他专用功能逻辑的芯片就是SoC。SoC技术被广泛认同的根本原因,并不在于SoC可以集成多少个晶体管,而在于SoC可以用较短时间被设计出来。这是SoC的主要价值所在——缩短产品的上市周期,因此,SoC更合理的定义为:SoC是在一个芯片上由于广泛使用预定制模块 IP(Intellectual Property)而得以快速开发的集成电路。从设计上来说,SoC就是一个通过设计复用达到高生产率的硬件软件协同设计的过程。从方法学的角度来看,SoC是一套极大规模集成电路的设计方法学,包括IP核可复用设计/测试方法及接口规范、系统芯片总线式集成设计方法学、系统芯片验证和测试方法学。SOC是一种设计理念,就是将各个可以集成在一起的模块集成到一个芯片上,他借鉴了软件的复用概念,也有了继承的概念。也可以说是包含了设计和测试等更多技术的一项新的设计技术。 SOC的一般构成

相关文档
最新文档