第二讲——信号完整性

第二讲——信号完整性
第二讲——信号完整性

五款信号完整性仿真工具介绍

现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 Ansoft的信号完整性工具采用一个仿真可解决全部设计问题: SIwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何数量的过孔和信号引线条构成。仿真结果采用先进的3D图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿真器。 (二)SPECCTRAQuest Cadence的工具采用Sun的电源层分析模块: Cadence Design Systems的SpecctraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在PCB详细设计前使用此工具,对互连线的不同情况进行仿真,把仿真结果存为拓扑结构模板,在后期详细设计中应用这些模板进行设计。 (2)DF/Signoise工具是信号仿真分析工具,可提供复杂的信号延时和信号畸变分析、IBIS 模型库的设置开发功能。SigNoise是SPECCTRAQUEST SI Expert和SQ Signal Explorer Expert进行分析仿真的仿真引擎,利用SigNoise可以进行反射、串扰、SSN、EMI、源同步及系统级的仿真。 (3)DF/EMC工具——EMC分析控制工具。 (4)DF/Thermax——热分析控制工具。 SPECCTRAQuest中的理想高速PCB设计流程: 由上所示,通过模型的验证、预布局布线的space分析、通过floorplan制定拓朴规则、由规

Altium Designer中进行信号完整性分析

在高速数字系统中,由于脉冲上升/下降时间通常在10到几百p秒,当受到诸如内连、传输时延和电源噪声等因素的影响,从而造成脉冲信号失真的现象; 在自然界中,存在着各种各样频率的微波和电磁干扰源,可能由于很小的差异导致高速系统设计的失败;在电子产品向高密和高速电路设计方向发展的今天,解决一系列信号完整性的问题,成为当前每一个电子设计者所必须面对的问题。业界通常会采用在PCB制板前期,通过信号完整性分析工具尽可能将设计风险降到最低,从而也大大促进了EDA设计工具的发展…… 信号完整性(Signal Integrity,简称SI)问题是指高速数字电路中,脉冲形状畸变而引发的信号失真问题,通常由传输线不阻抗匹配产生的问题。而影响阻抗匹配的因素包括信号源的架构、输出阻抗(output impedance)、走线的特性阻抗、负载端的特性、走线的拓朴(topology)架构等。解决的方式可以采用端接(termination)与调整走线拓朴的策略。 信号完整性问题通常不是由某个单一因素导致的,而是板级设计中多种因素共同作用的结果。信号完整性问题主要表现形式包括信号反射、信号振铃、地弹、串扰等; 1,Altium Designer信号完整性分析(机理、模型、功能) 在Altium Designer设计环境下,您既可以在原理图又可以在PCB编辑器内实现信号完整性分析,并且能以波形的方式在图形界面下给出反射和串扰的分析结果。 Altium Designer的信号完整性分析采用IC器件的IBIS模型,通过对版图内信号线路的阻抗计算,得到信号响应和失真等仿真数据来检查设计信号的可靠性。Altium Designer的信号完整性分析工具可以支持包括差分对信号在内的高速电路信号完整性分析功能。 Altium Designer仿真参数通过一个简单直观的对话框进行配置,通过使用集成的波形观察仪,实现图形显示仿真结果,而且波形观察仪可以同时显示多个仿真数据图像。并且可以直接在标绘的波形上进行测量,输出结果数据还可供进一步分析之用。 Altium Designer提供的集成器件库包含了大量的的器件IBIS模型,用户可以对器件添加器件的IBIS模型,也可以从外部导入与器件相关联的IBIS模型,选择从器件厂商那里得到的IBIS 模型。 Altium Designer的SI功能包含了布线前(即原理图设计阶段)及布线后(PCB版图设计阶段)两部分SI分析功能;采用成熟的传输线计算方法,以及I/O缓冲宏模型进行仿真。 基于快速反射和串扰模型,信号完整性分析器使用完全可靠的算法,从而能够产生出准确的仿真结果。布线前的阻抗特征计算和信号反射的信号完整性分析,用户可以在原理图环境下运行SI仿真功能,对电路潜在的信号完整性问题进行分析,如阻抗不匹配等因素。 更全面的信号完整性分析是在布线后PCB版图上完成的,它不仅能对传输线阻抗、信号反射和信号间串扰等多种设计中存在的信号完整性问题以图形的方式进行分析,而且还能利用规则检查发现信号完整性问题,同时,Altium Designer还提供一些有效的终端选项,来帮助您选择最好的解决方案。 2,分析设置需求 在PCB编辑环境下进行信号完整性分析。 为了得到精确的结果,在运行信号完整性分析之前需要完成以下步骤:

DDR的基础知识

DDR的基础知识 1.电源 DDR的电源可以分为三类: 主电源VDD和VDDQ, 主电源的要求是VDDQ=VDD,VDDQ是给IO buffer供电的电源,VDD是给但是一般的使用中都是把VDDQ和VDD合成一个电源使用。有的芯片还有VDDL,是给DLL供电的,也和VDD使用同一电源即可。 电源设计时,需要考虑电压,电流是否满足要求,电源的上电顺序和电源的上电时间,单调性等。 电源电压的要求一般在±5%以内。 电流需要根据使用的不同芯片,及芯片个数等进行计算。由于DDR的电流一般都比较大,所以PCB设计时,如果有一个完整的电源平面铺到管脚上,是最理想的状态,并且在电源入口加大电容储能,每个管脚上加一个100nF~10nF的小电容滤波。 参考电源Vref, 参考电源Vref要求跟随VDDQ,并且Vref=VDDQ/2,所以可以使用电源芯片提供,也可以采用电阻分压的方式得到。由于Vref一般电流较小,在几个mA~几十mA的数量级,所以用电阻分压的方式,即节约成本,又能在布局上比较灵活,放置的离Vref管脚比较近,紧密的跟随VDDQ电压,所以建议使用此种方式。需要注意分压用的电阻在100~10K均可,需要使用1%精度的电阻。 Vref参考电压的每个管脚上需要加10nF的点容滤波,并且每个分压电阻上也并联一个电容较好。 用于匹配的电压VTT(TrackingTermination Voltage)

VTT为匹配电阻上拉到的电源,VTT=VDDQ/2。DDR的设计中,根据拓扑结构的不同,有的设计使用不到VTT,如控制器带的DDR器件比较少的情况下。如果使用VTT,则VTT的电流要求是比较大的,所以需要走线使用铜皮铺过去。并且VTT要求电源即可以吸电流,又可以灌电流才可以。一般情况下可以使用专门为DDR设计的产生VTT的电源芯片来满足要求。 而且,每个拉到VTT的电阻旁一般放一个10Nf~100nF的电容,整个VTT电路上需要有uF级大电容进行储能。 一般情况下,DDR的数据线都是一驱一的拓扑结构,且DDR2和DDR3内部都有ODT做匹配,所以不需要拉到VTT做匹配即可得到较好的信号质量。而地址和控制信号线如果是多负载的情况下,会有一驱多,并且内部没有ODT,其拓扑结构为走T点的结构,所以常常需要使用VTT进行信号质量的匹配控制。 2. 时钟 DDR的时钟为差分走线,一般使用终端并联100欧姆的匹配方式,差分走线差分对控制阻抗为100ohm,单端线50ohm。需要注意的是,差分线也可以使用串联匹配,使用串联匹配的好处是可以控制差分信号的上升沿缓度,对EMI可能会有一定的作用。 3. 数据和DQS DQS信号相当于数据信号的参考时钟,它在走线时需要保持和CLK信号保持等长。DQS在DDR2以下为单端信号,DDR2可作为差分信号,也可做单端,做单端时需要将DQS-接地,而DDR3为差分信号,需要走线100ohm差分线。由于内部有ODT,所以DQS不需要终端并联100ohm电阻。每8bit数据信号对应一组DQS信号。 DQS信号在走线时需要与同组的DQS信号保持等长,控制单端50ohm的阻抗。在写数据时,DQ和DQS的中间对齐,在读数据时,DQ和DQS的边沿对齐。DQ信号多为一驱一,并且DDR2和DDR3有内部的ODT匹配,所以一般在进行串联匹配就可以了。

于博士信号完整性分析入门-初稿

于博士信号完整性分析入门 于争博士 https://www.360docs.net/doc/3818252760.html, 整理:runnphoenix

什么是信号完整性? 如果你发现,以前低速时代积累的设计经验现在似乎都不灵了,同样的设计,以前没问题,可是现在却无法工作,那么恭喜你,你碰到了硬件设计中最核心的问题:信号完整性。早一天遇到,对你来说是好事。 在过去的低速时代,电平跳变时信号上升时间较长,通常几个ns。器件间的互连线不至于影响电路的功能,没必要关心信号完整性问题。但在今天的高速时代,随着IC输出开关速度的提高,很多都在皮秒级,不管信号周期如何,几乎所有设计都遇到了信号完整性问题。另外,对低功耗追求使得内核电压越来越低,1.2v内核电压已经很常见了。因此系统能容忍的噪声余量越来越小,这也使得信号完整性问题更加突出。 广义上讲,信号完整性是指在电路设计中互连线引起的所有问题,它主要研究互连线的电气特性参数与数字信号的电压电流波形相互作用后,如何影响到产品性能的问题。主要表现在对时序的影响、信号振铃、信号反射、近端串扰、远端串扰、开关噪声、非单调性、地弹、电源反弹、衰减、容性负载、电磁辐射、电磁干扰等。 信号完整性问题的根源在于信号上升时间的减小。即使布线拓扑结构没有变化,如果采用了信号上升时间很小的IC芯片,现有设计也将处于临界状态或者停止工作。 下面谈谈几种常见的信号完整性问题。 反射: 图1显示了信号反射引起的波形畸变。看起来就像振铃,拿出你制作的电路板,测一测各种信号,比如时钟输出或是高速数据线输出,看看是不是存在这种波形。如果有,那么你该对信号完整性问题有个感性的认识了,对,这就是一种信号完整性问题。 很多硬件工程师都会在时钟输出信号上串接一个小电阻,至于为什么,他们中很多人都说不清楚,他们会说,很多成熟设计上都有,照着做的。或许你知道,可是确实很多人说不清这个小小电阻的作用,包括很多有了三四年经验的硬件工程师,很惊讶么?可这确实是事实,我碰到过很多。其实这个小电阻的作用就是为了解决信号反射问题。而且随着电阻的加大,振铃会消失,但你会发现信号上升沿不再那么陡峭了。这个解决方法叫阻抗匹配,奥,对了,一定要注意阻抗匹配,阻抗在信号完整性问题中占据着极其重要的

于博士信号完整性分析入门(修改)

于博士信号完整性分析入门 于争 博士 https://www.360docs.net/doc/3818252760.html, for more information,please refer to https://www.360docs.net/doc/3818252760.html, 电设计网欢迎您

什么是信号完整性? 如果你发现,以前低速时代积累的设计经验现在似乎都不灵了,同样的设计,以前没问题,可是现在却无法工作,那么恭喜你,你碰到了硬件设计中最核心的问题:信号完整性。早一天遇到,对你来说是好事。 在过去的低速时代,电平跳变时信号上升时间较长,通常几个ns。器件间的互连线不至于影响电路的功能,没必要关心信号完整性问题。但在今天的高速时代,随着IC输出开关速度的提高,很多都在皮秒级,不管信号周期如何,几乎所有设计都遇到了信号完整性问题。另外,对低功耗追求使得内核电压越来越低,1.2v内核电压已经很常见了。因此系统能容忍的噪声余量越来越小,这也使得信号完整性问题更加突出。 广义上讲,信号完整性是指在电路设计中互连线引起的所有问题,它主要研究互连线的电气特性参数与数字信号的电压电流波形相互作用后,如何影响到产品性能的问题。主要表现在对时序的影响、信号振铃、信号反射、近端串扰、远端串扰、开关噪声、非单调性、地弹、电源反弹、衰减、容性负载、电磁辐射、电磁干扰等。 信号完整性问题的根源在于信号上升时间的减小。即使布线拓扑结构没有变化,如果采用了信号上升时间很小的IC芯片,现有设计也将处于临界状态或者停止工作。 下面谈谈几种常见的信号完整性问题。 反射: 图1显示了信号反射引起的波形畸变。看起来就像振铃,拿出你制作的电路板,测一测各种信号,比如时钟输出或是高速数据线输出,看看是不是存在这种波形。如果有,那么你该对信号完整性问题有个感性的认识了,对,这就是一种信号完整性问题。 很多硬件工程师都会在时钟输出信号上串接一个小电阻,至于为什么,他们中很多人都说不清楚,他们会说,很多成熟设计上都有,照着做的。或许你知道,可是确实很多人说不清这个小小电阻的作用,包括很多有了三四年经验的硬件工程师,很惊讶么?可这确实是事实,我碰到过很多。其实这个小电阻的作用就是为了解决信号反射问题。而且随着电阻的加大,振铃会消失,但你会发现信号上升沿不再那么陡峭了。这个解决方法叫阻抗匹配,奥,对了,一定要注意阻抗匹配,阻抗在信号完整性问题中占据着极其重要的

信号完整性分析基础系列之一——眼图测量

信号完整性分析基础系列之一 ——关于眼图测量(上) 汪进进美国力科公司深圳代表处 内容提要:本文将从作者习惯的无厘头漫话风格起篇,从四个方面介绍了眼图测量的相关知识:一、串行数据的背景知识; 二、眼图的基本概念; 三、眼图测量方法; 四、力科示波器在眼图测量方面的特点和优势。全分为上、下两篇。上篇包括一、二部分。下篇包括三、四部分。 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基 于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基 于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest的迷恋甚至使有些工程师忘记了眼图是 可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”, 看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然 没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰 对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元 定时同步时,适当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两 只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码

DDR3基本知识

DDR3基本知识 一、DDR3简介 DDR3(double-data-rate three synchronous dynamic random access memory)是应用在计算机及电子产品领域的一种高带宽并行数据总线。DDR3在DDR2的基础上继承发展而来,其数据传输速度为DDR2的两倍。同时,DDR3标准可以使单颗内存芯片的容量更为扩大,达到512Mb至8Gb,从而使采用DDR3芯片的内存条容量扩大到最高16GB。此外,DDR3的工作电压降低为1.5V,比采用1.8V的DDR2省电30%左右。说到底,这些指标上的提升在技术上最大的支撑来自于芯片制造工艺的提升,90nm甚至更先进的45nm制造工艺使得同样功能的MOS管可以制造的更小,从而带来更快、更密、更省电的技术提升。 DDR3的发展实在不能说是顺利,虽然在2005年就已经有最初的标准发布并于2007年应用于Intel P35 “Bearlake”芯片组上,但并没有像业界预想的那样很快替代DDR2,这中间还经历了对SDRAM业界影响深远的金融危机,不但使DDR3占领市场的速度更加减慢,还使DDR3在技术上一度走在世界领先地位的内存大厂奇梦达倒闭,实在是让人惋惜。虽然如此,DDR3现今是并行SDRAM家族中速度最快的成熟标准,JEDEC标准规定的DDR3最高速度可达1600MT/s(注,1MT/s即为每秒钟一百万次传输)。不仅如此,内存厂商还可以生产速度高于JEDEC标准的DDR3产品,如速度为2000MT/s的DDR3产品,甚至有报道称其最高速度可高达2500MT/s。 二、DDR存储器特性 1) 时钟的上升和下降沿同时传输数据 DDR存储器的主要优势就是能够同时在时钟循环的上升和下降沿提取 数据,从而把给定时钟频率的数据速率提高1倍。例如,在DDR200器件中,数据传输频率为200 MHz,而总线速度则为100 MHz。 2) 工作电压低 DDR1、DDR2和DDR3存储器的电压分别为2.5、1.8和1.5V,因此与采用3.3V的正常SDRAM芯片组相比,它们在电源管理中产生的热量更少,效率更高。 3) 延时小 延时性是DDR存储器的另一特性。存储器延时性可通过一系列数字体现,如用于DDR1的2-3-2-6-T1、3-4-4-8或2-2-2-5。这些数字表明存储器进行某一操作所需的时钟脉冲数,数字越小,存储越快。 这些数字代表的操作如下:CL- tRCD – tRP – tRAS – CMD。要理解它们,您必须牢记存储器被内部组织为一个矩阵,数据保存在行和列的交叉点。 ?CL:列地址选通脉冲(CAS)延迟,是从处理器发出数据内存请求到存储

信号完整性分析基础系列之二十四

信号完整性分析基础系列之二十四——关于抖动(上) 美国力科公司深圳代表处汪进进 写在前面的话 抖动话题是示波器测量的最高境界,也是最风云变换的一个话题,这是因为抖动是示波器测量的诸多功能中最和“数学”相关的。玩数学似乎是需要一定境界的。 “力科示波器是怎么测量抖动的?”,“这台示波器抖动测量准不准?”,“时钟抖动和数据抖动测量方法为什么不一样?”,“总体抖动和峰峰值抖动有什么区别? ”,“余辉方法测量抖动不是最方便吗?”,“抖动和眼图,浴盆曲线之间是什么?”,…… 关于抖动的问题层出不穷。这么多年来,在完成了“关于触发(上)、(下)”和“关于眼图(上)、(下)”,“关于S参数(上)(下)”等三篇拙作后,我一直希望有一篇“关于抖动”的文章问世,但每每下笔又忐忑而止,怕有谬误遗毒。今天,当我鼓起勇气来写关于抖动的时候,我需要特别说明,这是未定稿,恳请斧正。 抖动和波形余辉的关系 有一种比较传统的测量抖动的方法,就是利用余辉来查看信号边沿的变化,然后再用光标测量变化的大小(如图1所示),后来更进了一步,可以利用示波器的“余辉直方图”和相关参数自动测量出余辉的变化范围,这样测量的结果就被称为“抖动”。这个方法是在示波器还没有“测量统计”功能之前的方法,但在90年代初力科发明了测量统计功能之后,这个方法就逐渐被淘汰了。 图1 传统的抖动测量方法 这种传统的方法有下面这些缺点:(1)总会引入触发抖动,因此测量的结果很不准确。(2)只能测量某种参数的抖动,譬如触发上升沿,测量下降沿的余辉变化,反应了宽度的抖动,触发上升沿,测量相邻的上升沿的余辉变化,反应了周期的抖动。显然还有很多类型的抖动特别是最重要的TIE抖动无法测量出来。(3)抖动产生的因果关系的信息也无从得知。 定义抖动的四个维度 和抖动相关的名词非常多:时钟抖动,数据抖动; 周期抖动,TIE抖动,相位抖动,cycle-cycle抖动; 峰峰值抖动(pk-pk jitter),有效值抖动(rms jitter);总体抖动(Tj),随机抖动(Rj),固有抖动(Dj);周期性抖动,DCD抖动,ISI抖动,数据相关性抖动; 定时抖动,基于误码率的抖动; 水平线以上的抖动和水平线以下的抖动…… 这些名词反应了定义抖动的不同维度。 回到“什么是抖动”的定义吧。其实抖动的定义一直没有统一,这可能也是因为需要表达清楚这个概念的维度比较多的原因。目前引用得比较多的定义是: Jitter is defined as the short-term variations of a digital signal’s significant instants from their ideal positions in time. 就是说抖动是信号在电平转换时,其边沿与理想位置之间的偏移量。如图2所示,红色的是表示理想信号,实际信号的边沿和红色信号边沿之间的偏差就是抖动。什么是“理想位置”,“理想位置”是怎么得到的?这是被问到后最不好回答的问题。

信号完整性分析

信号完整性背景 信号完整性问题引起人们的注意,最早起源于一次奇怪的设计失败现象。当时,美国硅谷一家著名的影像探测系统制造商早在7 年前就已经成功设计、制造并上市的产品,却在最近从生产线下线的产品中出现了问题,新产品无法正常运行,这是个20MHz 的系统设计,似乎无须考虑高速设计方面的问题,更为让产品设计工程师们困惑的是新产品没有任何设计上的修改,甚至采用的元器件型号也与原始设计的要求一致,唯一的区别是 IC 制造技术的进步,新采购的电子元器件实现了小型化、快速化。新的器件工艺技术使得新生产的每一个芯片都成为高速器件,也正是这些高速器件应用中的信号完整性问题导致了系统的失败。随着集成电路(IC)开关速度的提高,信号的上升和下降时间迅速缩减,不管信号频率如何,系统都将成为高速系统并且会出现各种各样的信号完整性问题。在高速PCB 系统设计方面信号完整性问题主要体现为:工作频率的提高和信号上升/下降时间的缩短,会使系统的时序余量减小甚至出现时序方面的问题;传输线效应导致信号在传输过程中的噪声容限、单调性甚至逻辑错误;信号间的串扰随着信号沿的时间减少而加剧;以及当信号沿的时间接近0.5ns 及以下时,电源系统的稳定性下降和出现电磁干扰问题。

信号完整性含义 信号完整性(Signal Integrity)简称SI,指信号从驱动端沿传输线到达接收端后波形的完整程度。即信号在电路中以正确的时序和电压作出响应的能力。如果电路中信号能够以要求的时序、持续时间和电压幅度到达IC,则该电路具有较好的信号完整性。反之,当信号不能正常响应时,就出现了信号完整性问题。从广义上讲,信号完整性问题指的是在高速产品中由互连线引起的所有问题,主要表现为五个方面:

信号完整性分析基础之八——抖动的频域分析

在上两篇文章中,我们分别介绍了直方图(统计域分析)和抖动追踪(时域分析)在抖动分析中的应用。从抖动的直方图和抖动追踪波形上我们可以得到抖动的主要构成成分以及抖动参数的变化趋势。如需对抖动的构成做进一步的分析,还需要从频域角度去进一步分析抖动的跟踪波形。 抖动的频谱即是对抖动追踪(jitter track)波形做FFT运算。如下图1所示 为一个时钟周期测量参数的追踪、频谱分析步骤及效果,在抖动频谱图上可以清楚的看出某两个频率值点抖动比较大: 图1 抖动频谱 黄色为实际采集到的时钟波形(C1通道) P1测量C1通道时钟信号的时钟周期 F7函数对P1测量参数进行跟踪 F6对F7进行FFT分析 下图2所示为一典型的串行信号抖动追踪频谱图,从图中可看出各种抖动成分;DDj和Pj为窄带频谱(三角形谱或者谱线)但是DDj和Pj的区别是由于DDj是和码型相关的,其频率fDDJ一般会是数据位率的整数倍,如果Pj的频率fPJ正好等于fDDJ,那么从抖动的频谱图里面是很难将DDj和Pj精确的分开的,所以通常在抖动分解的过程中一般通过时域平均的方法来分解DDj;BUj主要由于串扰等因素引起的,一般分为两种,一种是窄带,但幅度较高,很显然这类BUJ也是很难和PJ区分开的,除非我们知道引起BUJ的源头,知道其频率,所以说我们在抖动测试时得到的PJ一般会包含这类BUJ(所以通常情况下对这类BUJ不加区分,直接算做PJ,而将BUJ分类为PJ和OBUJ,在之前的抖动分类文章中有提及);另外一类是宽带的BUJ(很多时候也叫OBUJ,other bounded uncorrelated jitter),幅度很小,基本会埋没到RJ中去,这类抖动很容易被误算作RJ,目前使用在示波器上的抖动分解软件只有Lecroy最近推出的SDAII(基于NQ-SCALE抖动分解理论)能够较好的将这类抖动从Rj中剥离出来;RJ是 宽带频谱,幅度很小。

信号链基础知识之几个关键的基本概念

信号链基础知识之几个关键的基本概念 一直有人说“一年数字,十年模拟。”。大致意思我猜是说:数字技术相对而言比较简单易懂,而模拟技术是非常深奥难以掌握的。我觉得即便这句话并非“空穴来风”,模拟电子技术也不可能离开那些非常基础的东西而成为美丽、高不可攀的“空中楼阁”。所以说,模拟电子技术的“深不可测”并不应该成为我们畏惧它的原因,相反,我们应该尽量把基础知识打扎实,迎难而上,去体会“模拟技术是一种艺术”! (1)输入失调电压(Input offset voltage——Vio): 定义:Vio是使输出电压为零时在运放输入端所加的一个补偿电压。 实际上,由于运放的输入级电路参数不可能绝对对称,所以当输入电压为零时,输出电压并不为零。内部两个差分晶体管的微小差异,通过A倍放大后,即可产生一个不容忽视的输出电压。下图是由输入偏移电压产生的一种极端情况(这个图已把问题说得简单、明了,我就不多说了), 由此可见,输入偏移电压有时可能使得运放输出级的工作状态进入非线性区。So,要想使运放工作在线性区的话,我们就不得不事先对运放进行调零的操作了!——进行人为地输入一个补偿电压。如下图所示:

(2)输入失调电流(Input Offset Current——Iio): 碎碎念:对于FET运算放大器来说,由于其输入电阻是“出了名”的极大,以致该类运放的输入失调电流一般是极小的,不至于在运放的输入端产生额外严重的补偿电压。However,反观双极性运算放大器,其输入失调电流在多处情况下是令人无法忍受的,一个有效的处理办法是:尽量使得运放的同相与反相两端保持良好的对称状态,以减小输入失调电流。 (3)负反馈(Negative Feedback): 由于运放一般具有极大的开环电压增益,所以两个输入端即便是只有很小的电压差,运放的输出级也有可能轻易到达饱和区域。由此,运放几乎只能用于比较器应用了。但是,当引入负反馈后,运放就变成一种非常有用的器件了。引入负反馈能够给放大器的性能带来多方面的改善,比如可以稳定放大倍数、改变输入电阻和输出电阻、展宽频带、减小非线性失真等,考虑到博文的篇幅,留待后文再针对这些情况作专门的分析和讨论。

五款信号完整性仿真分析工具

SI五款信号完整性仿真工具介绍 (一)Ansoft公司的仿真工具 现在的高速电路设计已经达到GHz的水平,高速PCB设计要求从三维设计理论出发对过孔、封装和布线进行综合设计来解决信号完整性问题。高速PCB设计要求中国工程师必须具备电磁场的理论基础,必须懂得利用麦克斯韦尔方程来分析PCB设计过程中遇到的电磁场问题。目前,Ansoft公司的仿真工具能够从三维场求解的角度出发,对PCB设计的信号完整性问题进行动态仿真。 Ansoft的信号完整性工具采用一个仿真可解决全部设计问题: SIwave是一种创新的工具,它尤其适于解决现在高速PCB和复杂IC封装中普遍存在的电源输送和信号完整性问题。 该工具采用基于混合、全波及有限元技术的新颖方法,它允许工程师们特性化同步开关噪声、电源散射和地散射、谐振、反射以及引线条和电源/地平面之间的耦合。该工具采用一个仿真方案解决整个设计问题,缩短了设计时间。 它可分析复杂的线路设计,该设计由多重、任意形状的电源和接地层,以及任何数量的过孔和信号引线条构成。仿真结果采用先进的3D图形方式显示,它还可产生等效电路模型,使商业用户能够长期采用全波技术,而不必一定使用专有仿真器。 (二)SPECCTRAQuest Cadence的工具采用Sun的电源层分析模块: Cadence Design Systems的SpecctraQuest PCB信号完整性套件中的电源完整性模块据称能让工程师在高速PCB设计中更好地控制电源层分析和共模EMI。 该产品是由一份与Sun Microsystems公司签署的开发协议而来的,Sun最初研制该项技术是为了解决母板上的电源问题。 有了这种新模块,用户就可根据系统要求来算出电源层的目标阻抗;然后基于板上的器件考虑去耦合要求,Shah表示,向导程序能帮助用户确定其设计所要求的去耦合电容的数目和类型;选择一组去耦合电容并放置在板上之后,用户就可运行一个仿真程序,通过分析结果来发现问题所在。 SPECCTRAQuest是CADENCE公司提供的高速系统板级设计工具,通过它可以控制与PCB layout相应的限制条件。在SPECCTRAQuest菜单下集成了一下工具: (1)SigXplorer可以进行走线拓扑结构的编辑。可在工具中定义和控制延时、特性阻抗、驱动和负载的类型和数量、拓扑结构以及终端负载的类型等等。可在

信号完整性分析基础系列之一__关于眼图测量(全)

信号完整性分析基础系列之一_——关于眼图测量(全) 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest 的迷恋甚至使有些工程师忘记了眼图是可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”,看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元定时同步时,适当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用下图所示的图形来描述,由此图可以看出:(1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。

信号完整性分析与测试

信号完整性分析与测试 信号完整性问题涉及的知识面比较广,我通过这个短期的学习,对信号完整性有了一个初步的认识,本文只是简单介绍和总结了几种常见现象,并对一些常用的测试手段做了相应总结。本文还有很多不足,欢迎各位帮助补充,谢谢! 梁全贵 2011年9月16日

目录 第1章什么是信号完整性------------------------------------------------------------------------------ 3第2章轨道塌陷 ----------------------------------------------------------------------------------------- 5第3章信号上升时间与带宽 --------------------------------------------------------------------------- 6第4章地弹----------------------------------------------------------------------------------------------- 8第5章阻抗与特性阻抗--------------------------------------------------------------------------------- 9 5.1 阻抗 ------------------------------------------------------------------------------------------ 9 5.2 特性阻抗------------------------------------------------------------------------------------- 9第6章反射----------------------------------------------------------------------------------------------11 6.1 反射的定义 ---------------------------------------------------------------------------------11 6.2 反射的测试方法--------------------------------------------------------------------------- 12 6.3 TDR曲线映射着传输线的各点 --------------------------------------------------------- 12 6.4 TDR探头选择 ----------------------------------------------------------------------------- 13 第7章振铃--------------------------------------------------------------------------------------------- 14 第8章串扰--------------------------------------------------------------------------------------------- 16 8.1 串扰的定义 -------------------------------------------------------------------------------- 16 8.2 观测串扰 ----------------------------------------------------------------------------------- 16 第9章信号质量 --------------------------------------------------------------------------------------- 18 9.1 常见的信号质量问题 --------------------------------------------------------------------- 18 第10章信号完整性测试 ----------------------------------------------------------------------------- 21 10.1 波形测试---------------------------------------------------------------------------------- 21 10.2 眼图测试---------------------------------------------------------------------------------- 21 10.3 抖动测试---------------------------------------------------------------------------------- 23 10.3.1 抖动的定义 ------------------------------------------------------------------------ 23 10.3.2 抖动的成因 ------------------------------------------------------------------------ 23 10.3.3 抖动测试 --------------------------------------------------------------------------- 23 10.3.4 典型的抖动测试工具: ---------------------------------------------------------- 24 10.4 TDR测试 --------------------------------------------------------------------------------- 24 10.5 频谱测试---------------------------------------------------------------------------------- 25 10.6 频域阻抗测试 ---------------------------------------------------------------------------- 25 10.7 误码测试---------------------------------------------------------------------------------- 25 10.8 示波器选择与使用要求: -------------------------------------------------------------- 26 10.9 探头选择与使用要求-------------------------------------------------------------------- 26 10.10 测试点的选择--------------------------------------------------------------------------- 27 10.11 数据、地址信号质量测试 ------------------------------------------------------------- 27 10.11.1 简述 ------------------------------------------------------------------------------- 27 10.11.2 测试方法-------------------------------------------------------------------------- 27

信号完整性分析笔记(全)

在AD出Gerber的时候,在layer选项下有2个栏,Layer to Plots和Mechanical layers to Add to All Plot. 一般情况下Mechanical layers to Add to All Plot.可以不予理会,此处的意思表示需要添加到任何层面的mechanical layers 出Gerber的时候,如果没有删除room,有时会提示The film is too small for this PCB.因为room 会在角落离开PCB很远,但是gerber需要包含room的信息,如果gerber时候设置的film 的大小比较小,就会有这个问题。如果有些object实在无法寻找,而需要的object比较好选择,可以ctrl+A,然后deselect需要的object,直接del即可将无法找寻的objectdel掉 用PCB Inspector批量修改pad的soldermask expansion的时候,必须先勾选soldermask override,表示可以自定义soldermask expansion 在Altium Designer里面设置内层pad和via的连接的时候,需要将pad设置为thermal,而via不需要,在设置all pad thermal connect以后,需要再add一个all direct connect的rule,优先级设置低于all pad thermal connect..否则所有的via将不会被连接到内层的plane 低阻抗PDS的设计要点 使GND与VCC尽量靠近 / 低电感值的去耦电容 / 封装assign多个寄生电感低的VCC与GND Via/ 常见的电磁干扰源 差分信号转化为公模信号,在外部双绞线缆上输出 PCB地弹在外部单端屏蔽线上产生公模电流。附加的噪声可以由内部产生的辐射泄露溢出屏蔽罩引起 做PCB NPTH的时候,可以在mechaincal 1层做一个NPTH,选中,Tool -> Convert -> Creat Board Cutout from Select Primitives 可以在PCB上做一个针对所有层的Routing Keepout(not all electronical layer),首先在mechaincal 1 layer上做一个primitive,选中,Tool -> Convert -> Creat Cutout from Select Primitives 在allegro中,框选一个封闭的line,可以compose 以line为外框的shape。 也可以选择一个unfill的shape,compose一个static shape 返回路径是平面时是实现串扰最低的结构,一旦返回路径的平面发生变化,就会增加传输线之间的串扰 上升沿时间与频率的关系:RT=1/10F 其中:RT单位为ns,F的单位为GHz 信号沿传输线传输,电压形成传输线之间的电场,电流形成传输线周围的磁场,传输线上信号的传播也是电磁波的传输。信号的传输不仅传输电流和电压,同时还传播着电场和磁场

相关文档
最新文档