基于FPGA和DDS的信号源设计

龙源期刊网 https://www.360docs.net/doc/4b3799154.html,

基于FPGA和DDS的信号源设计

作者:周俊山

来源:《硅谷》2014年第09期

摘要介绍DDS的基本工作原理和信号发生器的发展历程,在此基础上系统阐述一种四通道DDS信号源的设计与实现方法。

关键词 DDS;FPGA;信号发生器

中图分类号:TN741 文献标识码:A 文章编号:1671-7597(2014)09-0038-01

1 DDS的基本原理

DDS又称直接数字频率合成技术,它是由美国人奈斯特提出来的,基于奈斯特抽样定理

和数字波形合成原理之上发展起来的一种数字化的比较新颖的合成技术。基于这个定理之上,我们可以检测到一个长为一个周期的并且连续的正弦波信号;再根据这个正弦波的相位轴的一个方向值还有它的相位间隔值对它进行相位幅度采样的处理,经过这一系类的处理就可以得到正弦波信号的离散相位的幅度序列,最后得到这个序列可以对它进行二进制编码。这种程序的操作可以使得一个周期的正弦信号转变成离散的二进制序列。接着,就可以把它储存到设置为只读的只读器当中。而要想找到程序中的相位的地址可以直接去找存储单元的地址,这两个是一样的数值。而存储单元当中的一些内容性的东西,可以去看正弦波的幅度值(量化后的)。上面所提到的只读存储器和一个相对应的正弦函数组成了一个查找表。

2 信号发生器发展历程

信号发生器顾名思义是一种电子仪器,具有高精度、高稳定性和可重复性。它有许多性能和优点,比如:它可以产生大量的信号,一般是标准信号和用户定义信号;它具有高精度性、高稳定性和简易操作的性能特点。在20世纪70年代之前,信号发生应用的较少,主要是两种形式:正弦波和脉冲波。而现在我们普遍用的发生器(函数发生器)是两者间的产物,它能提供多种常见波形,例如:正弦波、余弦波、上弦波、等等。而其他比较复杂的波形,需要比较先进的复杂的仪器方法来实现。在当前这个时期里,波形发生器还不完善,需要采用模拟电子技术,而模拟器件的相对较大、价格昂贵、功能大,而在这些条件下要产生较为复杂的波形,所以模拟器的电路结构也较之复杂。它的复杂结构主要是两点:1)需要调节电位器来实现对输出频率的调节,这样的作法无法将频率调到固定值;2)它的脉冲所占据的空间不能调节。在70年代之后的一段时间内,微处理器出现在实际应用中,微处理器可以使波形发生器的功能得到改善,产生与之前比较为复杂的波形。但是这样产生的波形对于科技需要来说还是简单的,以软件为主,采用微处理器对DAC用以程序性的控制。20世纪90年代末,函数发生器

出现在这个领域,性能较高,价格也较高。后来推出型号为HP770S的信号模拟装置系统,由于组成他的软件比较昂贵,随后推出了DATA-2020,9100等型号的波形发生器。而到了21世

相关文档
最新文档