单片机多路数据采集控制系统—实物制作报告

单片机多路数据采集控制系统—实物制作报告
单片机多路数据采集控制系统—实物制作报告

单片机多路数据采集控制系统—实物制作

班级:11电子2班姓名: 学号:

单片机多路数据采集控制系统—实物制作 (1)

一、课程设计的目的 (2)

运用单片机原理及其应用等课程知识,根据题目要求进行软硬件系统的设计和调试,从而加深对本课程知识的理解, 把学过的比较零碎的知识系统化,比较系统的学习开发单片机应用系统的基本步骤和基本方法,使学生应用知识能力、设计能力、调试能力以及报告撰写能力等有一定的提高。 (2)

二、课程设计的要求 (2)

三、总体设计 (2)

1、设计思路 (2)

2、硬件设计 (3)

五、课程设计步骤 (11)

六、调试及结果 (15)

七、结束语 (16)

一、课程设计的目的

运用单片机原理及其应用等课程知识,根据题目要求进行软硬件系统的设计和调试,从而加深

对本课程知识的理解, 把学过的比较零碎的知识系统化,比较系统的学习开发单片机应用系统的基本步骤和基本方法,使学生应用知识能力、设计能力、调试能力以及报告撰写能力等有一定的提高。

二、课程设计的要求

用8051单片机设计数据采集控制系统,基本要求如下: 可实现8路数据的采集,假设8路信号均为0-5V 的电压信号;

采集数据可通过LCD 显示,显示格式为:[通道号] 电压值,如[01]: 4.5V 可通过键盘设置采集方式;(单点采集、多路巡测)

具有异常数据声音报警功能:对第一路数据可设置正常数据的上限值和下限值,当采集的数据出现异常,发出报警信号。 选做功能:

1、异常数据音乐报警

2、可输出8路顺序控制信号,设每路顺序控制信号为一位,顺序控制的流程为:

三、总体设计

1、设计思路

我们选择单片机与A/D 转换芯片结合的方法实现本设计。使用的基本元器件是:AT89C52单片机,ADC0809模数转换芯片,LCD1602显示器,按键,电容,电阻,晶振等。

数字电压测量电路由A/D 转换、数据处理及显示控制等组成。A/D 转换由集成电路ADC0809完成。ADC0809具有8路拟输入端口,地址线(23~- 25脚)可决定对哪一路模拟输入作A/D 转换。22脚(ALE )为地址锁存控制,当输入为高电平时,对地址信号进行锁存。6脚(START )为测试控制,当输入一个2uS 宽高电平脉冲时,就开始A/D 转换。7脚(EOC )为A/D 转换结束标志,当A/D 转换结束时,7脚输出高电平。9脚(OE )为A/D 转换数据输出允许控制,当OE 脚为高电平时,A/D 转换数据从该端口输出。10脚为0809的时钟输入端。单片机的P1.5~P1.7、P0端口作1602液晶显示控制。P2端口作A/D 转换数据读入用,P3.2、P3.6、P3.7端口用作0809的A/D 转换控制。

通过对单片机p3.5口置低电平控制LED 亮灯,p3.4口置高电平时蜂鸣器报警。

工序1

工序2

工序3

工序4

工序5

工序6

工序7

工序8

延时3秒

延时6秒

延时3秒

延时6秒

延时3秒

延时6秒

延时3秒

延时6秒

2、硬件设计

(1)系统框图

是否有键按

下?

开始

Flag=0Menu_KEY CANCE

L_KEY

RING_KEY

正常

模式

结束

多路巡测报警开关

Flag=1

UP_KEY DOWN_KEY

数据采集及处理

显示

图3-1 系统框图(2)AT89C52

80C52是INTEL公司MCS-51系列单片机中基本的产品,它采用INTEL公司可靠的CHMOS 工艺技术制造的高性能8位单片机,属于标准的MCS-51的HCMOS产品。它结合了HMOS的高速和高密度技术及CHMOS的低功耗特征,它基于标准的MCS-51单片机体系结构和指令系统,属于80C51增强型单片机版本,集成了时钟输出和向上或向下计数器等更多的功能,适合于类似马达控制等应用场合。

80C52内置8位中央处理单元、256字节内部数据存储器RAM

、8k片内程序存储器(ROM)、32

个双向输入/输出(I/O)口、3个16位定时/计数器和

5个两级中断结构,一个全双工串行通信口,片内

时钟振荡电路。图3-2 AT89C52 此外,80C52还可工作于低功耗模式,可通过两种软件选择空闲和掉电模式。在空闲模式下冻结CPU而RAM定时器、串行口和中断系统维持其功能。掉电模式下,保存RAM数据,时钟振荡停止,同时停止内芯片其它功能。80C52有PDIP(40pin)和PLCC(44pin)两种封装形式。

(3)ADC0809

ADC0809是美国国家半导体公司生产的CMOS工艺8通道,8位逐次逼近式A/D模数转换器。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。是目前国内应用最广泛的8位通用A/D芯片

a.主要特性

1)8路输入通道,8位A/D转换器,即分辨率为8位。

2)具有转换起停控制端。

3)转换时间为100μs(时钟为640kHz时),130μs(时钟为500kHz时)

4)单个+5V电源供电

5)模拟输入电压范围0~+5V,不需零点和满刻度校准。

6)工作温度范围为-40~+85摄氏度

7)低功耗,约15mW。

b.内部结构

ADC0809是CMOS单片型逐次逼近式A/D转换器,内部结构如图所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型A/D转换器、逐次逼近寄存器、逻辑控制和定时电路组成。

c.外部特性(引脚功能)

ADC0809芯片有28条引脚,采用双列直插式封装,如图所示。下面说明各引脚功能。

IN0~IN7:8路模拟量输入端。

2-1~2-8:8位数字量输出端。

ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路

ALE:地址锁存允许信号,输入,高电平有效。

START:A/D转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上沿使0809复位,下降沿启动A/D转换)。

EOC:A/D转换信号,输出,当A/D转换结束结束时,此端输出一个高电平(转换期间一直为低电平)。

OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。

CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。

REF(+)、REF(-):基准电压。

Vcc:电源,单一+5V。

GND:地。

图3-3 ADC0809

(4)LCD1602

液晶显示器以其微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,在袖珍式仪表和低功耗应用系统中得到越来越广泛的应用。这里介绍的字符型液晶模块是一种用5x7 点阵图形来显示字符的液晶显示器,根据显示的容量可以分为1 行16 个字、2 行16 个字、2 行20个字等等,这里以常用的 2 行16 个字的1602 液晶模块来介绍它的编程方法。

引脚说明

1602字符型LCD通常有14条引脚线或16条引脚线的LCD,多出来的2条线是背光电源线

VCC(15脚)和地线GND(16脚),其控制原理与14脚的LCD完全一样,其中:

引脚符号功能说明

1 VSS 一般接地

2 VDD 接电源(+5V)

3 V0 液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。

4 RS RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。

5 R/W R/W为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。

6 E E(或EN)端为使能(enable)端,下降沿使能。

7 DB0 底4位三态、双向数据总线0位(最低位)

8 DB1 底4位三态、双向数据总线1位

9 DB2 底4位三态、双向数据总线2位

10 DB3 底4位三态、双向数据总线3位

11 DB4 高4位三态、双向数据总线4位

12 DB5 高4位三态、双向数据总线5位

13 DB6 高4位三态、双向数据总线6位

14 DB7 高4位三态、双向数据总线7位(最高位)(也是busy flang)

15 BLA 背光电源正极

16 BLK 背光电源负极

表3-1 引脚说明3、软件设计

void main()

{

uchar b;

uchar j = 0;

uint b1,b2,temp;

x=1;

keyrelease=1;

ringswitch=1;

buf=0xff;

_nop_();

init();//lcd初始化

while(1)

{

BEEP=0;

CANCEL_KEY=1;//没有按下自动循环键

keyinput=P1&0x1f;

if(keyinput!=0x1f)

{

delay(10);

if(keyinput!=0x1f)

{

if(keyrelease==1)

{

keyrelease=0;

buf = keyinput;

//buf用来暂时存放键值

}

}

else

{

keyrelease=1;

keyprocess(buf); //调用按键处理函数

buf = 0xff;

}

开始

初始化键盘扫描

进行键值

处理

数据采集和处理

显示

} 图4-1 主函数流程图

else

{

keyrelease=1;

keyprocess(buf);

buf=0xff;

}

if(ringswitch==0&&(b>=50||b<=0))

{ //打开警报,当电压值大于等于5V或小于等于0V时,响铃,闪灯

LED=0;

BEEP=0;

delay(100);

BEEP=1;

}

if(!CANCEL_KEY)

{

for(x=0;x<=6;x++)

{

if(x==0||x==2||x==4||x==6)//当工序为1,3,5,7的时候,工序延时3秒;其他延时6秒

{

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

}

else

{

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

delay(1000);

}

Key?开始Flag=0Menu_KEY CANCE L_KEY

RING_KEY

正常

模式结束多路巡测

报警开关Flag=1UP_KEY DOWN_KEY Y temp = ad0808(x);//数据采集 b1 = temp/51; //整数部分 b2 = (temp%51)*10/51;//小数部分 show(x,b1,b2); delay(100); } } temp=ad0808(x);//数据采集 b1=temp/51; //整数部分 b2=(temp%51)*10/51;//小数部分 b=b1*10+b2; //将电压值放大10倍 LED=1;

show(x,b1,b2);//show(1,1,1); delay(100); }

}

2、按键处理函数

void keyprocess(unsigned char key) //键值处理 {

switch (key) {

case 0x1e:flag++;//按下Menu_KEY if(flag>=2) flag=0; break;

case 0x1d:switch(flag)//按UP_KEY

{

case 0x01:if(x<7) x++;

else x=0;break;

} break;

case 0x1b:switch(flag)//按下DOWN_KEY {

case 0x01:if(x>0) x--; else x=7;break; }

break; case

0x17:ringswitch=~ringswitch;//报警开关

break; 图4-2 按键处理函数 case 0x0f:CANCEL_KEY=~CANCEL_KEY; break;

default:break;

} }

3、AD 转换函数

char ad0808(char x)

{ char temp; AD_ALE = 0;

P2 = x*32;//ADDA 、ADDB 、ADDC 值(采集通道选择)

_nop_(); _nop_(); _nop_();

_nop_(); AD_ALE = 1;//采集通道地址存入 _nop_(); AD_ALE = 0;//锁存地址 _nop_();

delay(1); 图4-3 AD 转换函数 while(!EOC);//等待转换结束 P2 = 0xff; _nop_(); _nop_(); AD_ENA = 1;//输出允许三态门打开 _nop_(); temp = P2;//输出采集转换后值 _nop_(); AD_ENA = 0;//关闭三态门 _nop_(); return temp;//返回值

} 4、LCD1602

void write_com_1602(uchar command) //写命令 { LCD_RW = 0; //写 LCD_RS = 0; //命令

开始

采集通道选择AD 转换输出转换后的值

结束转换结束?

Y N

P0 = command;//所写命令

delay(2);

LCD_EN = 1; //高脉冲

delay(4);

LCD_EN = 0;

}

void write_data_1602(uchar datum) //写数据

{

LCD_RW = 0; //写

LCD_RS = 1; //数据

P0 = datum;//所写数据

delay(2);

LCD_EN = 1; //高脉冲

delay(4);

LCD_EN = 0;

}

void init()

{

write_com_1602(0X38);//显示模式设置,8位总线;字符行数设置:2行字符;字符字体:5*7字体

write_com_1602(0X0C);//开显示不显示光标不闪烁

write_com_1602(0X06);//地址指针自动加一不移动

write_com_1602(0X01);//显示清屏

write_com_1602(0X80);//数据指针设置

}

void show(uchar x,uchar b1,uchar b2)

{

uchar code display1[] = "0123456789";

uchar code display2[] = ".[]V: mswd";

write_com_1602(0x80 + 0x00);//数据指针设置,第一行

write_data_1602(display1[1]);//显示学号和姓名

write_data_1602(display1[0]);

write_data_1602(display1[6]);

write_data_1602(display2[5]);

write_data_1602(display2[8]);

write_data_1602(display2[9]);

write_com_1602(0x80 + 0x40);//数据指针设置,第二行

write_data_1602(display2[1]);

write_data_1602(display1[0]);

write_data_1602(display2[5]);

多路数据采集

目录 一、任务与要求 (2) 二、总体设计 (2) 1、电路原理框图 (2) 2、整体工作原理 (3) 三、各部分电路原理图 (4) 1、模拟开关部分 (4) 2、D/A转换部分 (4) 3、三态门驱动部分 (5) 3、RAM部分 (5) 4、十六位数码显示 (6) 5、A/D转换部分 (6) 6、逻辑控制与时钟电路 (7) 四、仿真结果 (7) 1、进行一路数据的采集 (7) 2、进行两路信号的采集 (8) 五:转换精度的分析 (9) 六、该电路实现的功能 (10)

多路数据采集系统的设计报告 一、任务与要求 数字电路所能处理的信号为数字信号,而生产实践中的许多信号属于模拟信号,因而,模/数变换和数/模变换就成为电子技术应用中的基本环节。本实验用数/模、模/数转换器为主设计制作一个数据采集系统。 (1) 用ADC0809或其它ADC 芯片实现对两路以上的模拟信号的采集,模拟信号 以常用物理量温度为对象,可以经传感器、输入变换电路得到与现场温度成线性关系的0~5V 电压,也可以直接用0~5V 的电压模拟现场温度。采集的数据一方面送入存储器保存(如RAM6264),同时用数码管跟踪显示。 (2) 从存储器中读出数据,经D/A 芯片0832或其它DAC 芯片作D/A 变换,观察 所得模拟量与输入量的对应情况 (3) 分析转换误差,研究提高转换精度的措施。 二、总体设计 1、电路原理框图 数据采集系统框图如图8-6-1。

图1数据采集系统框图 说明: (1)、在multisim中使用两个函数发生器产生一个Vpp为5v的正弦波和Vpp 为5V的三角波作为传感信号。 (2)、数字量显示使用的是十六进制。 (3)、在此电路中用模拟开关控制采集哪路信号。 2、整体工作原理 图1数据采集系统电路图 当电路上电开始工作时,J2处于低电位,RS触发器处于置一状态,将开关J2开到高电位时,此时RS为保持状态,控制三态门工作,并使RAM置于写状态,控制A/D不工作。D/A转换器每进行完一次转换都会使EOC’输出一高电平,当下一次转换开始时EOC’又开始变为高电平,利用EOC’给计数器提供冲击脉冲使其计数,并计数器的计数功能来控制RAM的内存单位自动加一,从而使000H--1FFH

数据采集与监视控制系统

一。SCADA系统概述 SCADA(Supervisory Control And Data Acquisition)系统,即数据采集与监视控制系统。SCADA系统的应用领域很广,它可以应用于电力系统、给水系统、石油、化工等领域的数据采集与监视控制以及过程控制等诸多领域。在电力系统以及电气化铁道上又称远动系统。 SCADA系统是以计算机为基础的生产过程控制与调度自动化系统。它可以对现场的运行设备进行监视和控制,以实现数据采集、设备控制、测量、参数调节以及各类信号报警等各项功能。 由于各个应用领域对SCADA的要求不同,所以不同应用领域的SCADA系统发展也不完全相同。 在电力系统中,SCADA系统应用最为广泛,技术发展也最为成熟。它作为能量管理系统(EMS系统)的一个最主要的子系统,有着信息完整、提高效率、正确掌握系统运行状态、加快决策、能帮助快速诊断出系统故障状态等优势,现已经成为电力调度不可缺少的工具。它对提高电网运行的可靠性、安全性与经济效益,减轻调度员的负担,实现电力调度自动化与现代化,提高调度的效率和水平中方面有着不可替代的作用。 SCADA在铁道电气化远动系统上的应用较早,在保证电气化铁路的安全可靠供电,提高铁路运输的调度管理水平起到了很大的作用。在铁道电气化SCADA系统的发展过程中,随着计算机的发展,不同时期有不同的产品,同时我国也从国外引进了大量的SCADA产品与设备,这些都带动了铁道电气化远动系统向更高的目标发展。 二.SCADA系统发展历程 SCADA(Supervisory Control and Data Acquisition)系统,全名为数据采集与监视控制系统。SCADA系统自诞生之日起就与计算机技术的发展紧密相关。SCADA系统发展到今天已经经历了三代。 第一代是基于专用计算机和专用*作系统的SCADA系统,如电力自动化研究院为华北电网开发的SD176系统以及在日本日立公司为我国铁道电气化远动系统所设计的H-80M系统。这一阶段是从计算机运用到SCADA系统时开始到70年代。 第二代是80年代基于通用计算机的SCADA系统,在第二代中,广泛采用VAX等其它计算机以及其它通用工作站,*作系统一般是通用的UNIX*作系统。在这一阶段,SCADA系统在电网调度自动化中与经济运行分析,自动发电控制(AGC)以及网络分析结合到一起构成了EMS系统(能量管理系统)。第一代与第二代SCADA系统的共同特点是基于集中式计算机系统,并且系统不具有开放性,因而系统维护,升级以及与其它联网构成很大困难。 90年代按照开放的原则,基于分布式计算机网络以及关系数据库技术的能够实现大范围联网的EMS/SCADA系统称为第三代。这一阶段是我国SCADA/EMS系统发展最快的阶段,各种最新的计算机技术都汇集进SCADA/EMS系统中。这一阶段也是我国对电力系统自动化以及电网建设投资最大的时期,国家计划未来三年内投资2700亿元改造城乡电网可见国家对电力系统自动化以及电网建设的重视程度。 第四代SCADA/EMS系统的基础条件已经或即将具备,预计将与21世纪初诞生。该系统的主要特征是采用Internet技术、面向对象技术、神经网络技术以及JAVA技术等技术,继续扩大SCADA/EMS系统与其

多路数据采集器设计报告

多路数据采集器设计 1.设计要求 所设计的数据采集器,共有16路信号输入,每路信号都是直流0~20mV信号,每秒钟采集一遍,将其数据传给上位PC计算机。本采集器地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,通信用RS232接口,通信芯片用MAX232。 与PC机的RS232串口进行通信。 设计采集器的电原理图,用C51语言编制采集器的工作程序。 2.方案设计 按要求,设计数据采集器方案如下所示: 数据采集器采用AT89C51单片机作为微控制器,模拟开关4067的地址A、B、C、D分别与P1.0~P1.3连接,通过控制P1口输出来选择输入信号,将直流信号依次输入ADC0809的模拟信号输入端,ADC0809共有8路输入通道,在使用模拟开关时,仅将模拟开关的输出端连接到ADC0809的1路输入通道即可,本方案中使用0通道。ADC0809的转换结果通过P0口传给单片机,单片机将采集结果通过串行通信RS232接口上传给上位PC机,实现数据的采集。 数据采集器方案示意图

3.电路原理图 a)AT89C51单片机电路 本实验中选取8位单片机AT89C51作为微控制器,需要片外11.0592MHz的振荡器,4K字节EPROM,128字节RAM,与51单片机有很好的兼容性。在本此实验中程序及数据不多,故无需另加外部程序存储器。单片机部分的电路如下所示: AT89C51单片机电路 b)数据输入部分

数据输入部分由模拟开关4067实现多路信号的切换。CD4067是单16路(单刀16位)模拟开关,各开关由外部输入二进制的地址码A、B、C、D来切换。其中脚10、11、14和13是地址码A(LSB)、B、C、D(MSB)的输入端;脚2~9和16~23是开关的输入/输出端(开关位);脚1是开关的输出/输入公共端(开关刀);脚15为控制端,低电平有效(选通),高电平禁止(开关开路)。 输入脚A、B、C、D分别与单片机P1.0~P1.3相连,改变P1输出即可切换输入通道,控制脚与P2.4相连。输出脚1后接电压放大电路。 c)电压调理放大电路 电压调理电路 由于输入信号均为0~20mV的微弱电压信号,而模数转换器ADC0809的输入量要求为0~5V 直流电压,所以必须后接电压放大电路。放大器选用OP07,将0~20mV电压放大到0~5V,其放大倍数为250倍,一般情况下,放大器的放大倍数最好小于200倍,安全起见,选用两个OP07进行两级放大,前级放大25倍,后级放大10倍,放大电路如上图所示。 d)模数转换部分 ADC0809数模转换电路 模数转换元件选用ADC0809,其主要特性有: 8路8位A/D转换器,即分辨率8位;

基于单片机的温度数据采集系统实验报告

基于单片机的温度数据采集系统实验报告 班级:电技10—1班 姓名:田波平 学号:1012020108 指导老师:仲老师

题目:基于单片机的温度数据采集系统 一.设计要求 1.被测量温度范围:0~120℃,温度分辨率为0.5℃。 2.被测温度点:2个,每5秒测量一次。 3.显示器要求:通道号2位,温度4位(精度到小数点后一位)。 显示方式为定点显示和轮流显示。 4.键盘要求: (1)定点显示设定;(2)轮流显示设定;(3)其他功能键。 二.设计内容 1.单片机及电源模块设计 单片机可选用AT89S51及其兼容系列,电源模块可以选用7805等稳压组件,本机输入电压范围9-12v。 2.存储器设计 扩展串行I2C存储器AT24C02。 要求: AT24C02的SCK接P3.2 AT24C02的SDA接P3.4 2.传感器及信号转换电路 温度传感器可以选用PTC热敏电阻,信号转换电路将PTC输出阻值转换为0-5V。 3.A/D转换器设计 A/D选用ADC0832。 要求: ADC0832的CS端接P3.5 ADC0832的DI端接P3.6 ADC0832的DO端接P3.7 ADC0832的CLK端接P2.1 4.显示器设计。 6位共阳极LED显示器,段选(a-h)由P0口控制,位选由P2.2-P2.7控制。数码管由2N5401驱动。 5.键盘电路设计。 6个按键,P2.2-P2.7接6个按键,P3.4接公共端,采用动态扫描方式检测键盘。 6.系统软件设计。 系统初始化模块,键盘扫描模块,数据采集模块,标度变换模块、显示模块等。 三.设计报告要求 设计报告应按以下格式书写: (1)封面; (2)设计任务书; (3)目录; (4)正文;

多路数据采集与控制系统

1 引言 数据采集是指将温度、压力、流量、位移等模拟量采集、转换成数字量后,再由计算机进行存储、处理、显示的过程。在生产过程中,可对生产现场的工艺参数进行采集、监视和记录,为提高产品的质量、降低成本提供信息和手段。本文设计了一套多路数据采集系统,实施采集多现场的温度参数,系统通过RS485总线将采集到的现场温度数据传输至上位机,上位机对采集到的数据进行显示、存储,从而达到现场监测与控制的目的。 2 设计目的和要求 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上 0-5V的模拟电压进行采集的能力,且可以用程序选择装换通道,选择ADC0809 作为A/D转换芯片。 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 3 系统设计方案 1.八路模拟信号的产生 被测电压要求为0~5V的直流电压,可通过八个滑动变阻器调节产生。 2.模拟信号的采集 八路数据采集系统采用共享数据采集通道的结构形式,数据采集方式确定为程序控制数据采集。 3.A/D转换器的选取 八位逐次比较式A/D转换器 4.控制与显示方法的选择 用单片机作为控制系统的核心,处理来自ADC0809的数据。经处理后通过串口传送,由于系统功能简单,完成采样通道的选择,单片机通过接口芯片与LED

数码显示器相连,驱动显示器相应同采集到的数据。 图3.1 总体设计图 4 硬件系统的设计 4.1芯片ADC0809的引脚功能和主要性能 ADC0809八位逐次逼近式A/D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。 ADC0809的引脚图及51单片机引脚图: 图4.1 ADC0809管脚图及51单片机芯片管脚图 模拟输入通道1 ADC0808 单片机 LED 模拟输入通道2 模拟输入通道8

数据采集及管理控制系统设计规范

数据采集及管理控制系统设计规范

服装企业实时数据采集及管理控制系统的设计 Design Of Real-time Data Collection And Administration Control System In Clothes Enterprise 摘要:随着计算机和通讯技术的飞速发展,国内服装业信息化的高要求也迫在眉睫。本文主要针对服装业讨论设计了一 套实时数据采集及管理控制系统,它避免了当前服装业常 见管理软件的信息延迟与滞后的问题,能够做到生产过程 的实时控制,把国内服装业的管理水平推向一个更高的层 次。 关键词:实时控制;工况信息;批处理;成绩表现;生产平衡 Abstract:With the development of the computer and communication technology , it is very necessary for clothes enterprises in china to accelerate innovations . In this paper , it is principal to design a system in clothes enterprise for real- time data collection and administration control , which can escape the important problem occurred by nowadays administrative software —— information delay and can improve the administration level .

多路数据采集系统设计毕业论文

多路数据采集系统设计毕业论文 第1章绪论 1.1 多路数据采集系统介绍 随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。 此外,计算机的发展对通信起了巨大的推动作用。算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物[2]。 数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等

工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。 由于RS-232在微机通信接口中广泛采用,技术已相当成熟。在近端与远端通信过程中,采用串行RS-232标准,实现PC机与单片机间的数据传输。在本毕业设计中对多路数据采集系统作了初步的研究。本系统主要解决的是怎样进行数据采集以及怎样进行多路的数据采集,并将数据上传至计算机[2]。 1.2 设计思路 多路数据采集系统采用ADC0809模数转换器作为数据采集单元和AT89C51单片机来对它们进行控制,不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高采集数据的灵敏度及指标。通过MAX232电平转换芯片实现单片机与PC 机的异步串行通信,设计中的HD7279实现了键盘控制与LED显示显示功能。本文设计了一种以AT89C51和ADC0809及RS232为核心的多路数据采集系统。 多路数据采集系统就是通过键盘控制选择通路,将采集到的电压模拟两转换成数字量实时的送到单片机里处理从而显示出采集电压和地址值,最终控制执行单片机与PC机的异步串行通信。 连接好硬件后,给ADC0809的三条输入通路通入直流电压。4-F键为功能键,4-E键为复位键,F键为确认键。1-3键为通道选择键,分别采集三个通道的数据值并实时显示出数值和地址值。结合单片机RS232串口功能还实现了与PC机的异

数据采集系统实验报告

学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 09测控1W 姓 名: 胡建兵 学 号: 09314111 指导教师姓名: 朱 雷 2012 年 11 月 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 数据采集系统实验报告

实验2——A/D采集模块设计 一.实验目的 学习用状态机实现对ADC0809,AD574A等A/D转换器的采样控制。 二.实验原理 图1和图2分别为ADC0809的引脚图,转换时序图和采样控制状态图。时序图中,START为转换启动控制信号,高电平有效;ALE为模拟信号输入选通端口地址锁存信号,上升沿有效;一旦START有效后,状态信号变EOC变为低电平,表示进入状态转换,转换时间约为100us。转换结束后,EOC将变为高电平。此外外部控制可使OE由低电平变为高电平(输出有效),此时,ADC0809的输出数据总线D【7...0】从原来的高阻态变为输出数据有效。由状态图也可以看到,状态st2中需要对ADC0809工作状态信号EOC进行测试,如果为低电平,表示转换没有结束,仍需要停留在st2状态中等待,直到变成高电平后才说明转换结束,在下一时钟脉冲到来时转向状态st3。在状态st3,由状态机向ADC0809发出转换好的8位数据输出允许命令,这一状态周期同时可作为数据输出稳定周期,以便能在下一状态中向锁存器锁入可靠的数据。在状态st4,由状态机向FPGA中的锁存器发出锁存信号(LOCK的上升沿),将ADC0809的输出数据进行锁存。 图2.1 ADC0809工作时序

图2.2 控制ADC0809采样状态图程序如图实例1所示,其结构框图如图3所示。 图2.3 采样状态机结构框图

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

8路数据采集及报警控制系统 ADC0809

安徽建筑工业大学 计算机控制技术 课程设计 课题名称8路数据采集及报警控制系统 系别电子与信息工程学院 专业电子信息工程 班级10城建电子(2)班 姓名邵磊 学号10205900235 指导老师严辉夏巍丁刚 时间2013年6月17日至 2013年6月30日

目录 一、总体设计: 1.1 设计思路 1.2 课题目的 二、方案论证: 2.1 A/D模数转换的选择 2.2 单片机的选择 2.3 按键选择 2.4 系统框图 三、硬件电路设计: 3.1 单片机介绍 3.2 ADC0809结构功能 3.3 ADC0809的工作时序 3.4 ADC0809工作过程 四、系统程序设计: 4.1 程序流程框图 4.2 主程序 五、结束语 六、附录

一、总体设计 1.1 设计思路 我们选择单片机与A/D转换芯片结合的方法实现本设计。使用的基本元器件是:AT89C52单片机,ADC0809模数转换芯片,LCD显示器,按键,电容,电阻,晶振等。 数字电压测量电路由A/D转换、数据处理及显示控制等组成。A/D 转换由集成电路ADC0809完成。ADC0809具有8路拟输入端口,地址线(23~- 25脚)可决定对哪一路模拟输入作A/D换。22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存。6脚为测试控制,当输入一个2uS宽高电平脉冲时,就开始A/D转换。7脚为A/D转换结束标志,当A/D转换结束时,7脚输出高电平。9脚为A/D转换数据输出允许控制,当OE脚为高电平时,A/D转换数据从该端口输出。10脚为0809的时钟输入端。单片机的P1.5~P1.7、P3端口作1602液晶显示控制。P2端口作A/D转换数据读入用,P0端口用作0809的A/D转换控制。 通过对单片机p3.5口置低电平控制LED亮灯,p3.4口置高电平

温度采集实验报告

课程设计任务书 题目基于AD590的温度测控系统设计 系(部) 信息科学与电气工程学院 专业电气工程及其自动化 班级电气092 学生姓名刘玉兴 学号090819210 月日至月日共周 指导教师(签字) 系主任(签字) 年月日

摘要 温度是工业生产和自动控制中最常见的工艺参数之一。过去温度检测系统设计中,大多采用模拟技术进行设计,这样就不可避免地遇到诸如传感器外围电路复杂及抗干扰能力差等问题;而其中任何一环节处理不当,就会造成整个系统性能的下降。随着半导体技术的高速发展,特别是大规模集成电路设计技术的发展, 数字化、微型化、集成化成为了传感器发展的主要方向。 以单片机为核心的控制系统.利用汇编语言程序设计实现整个系统的控制过程。在软件方面,结合ADC0809并行8位A/D转换器的工作时序,给出80C51单片机与ADC0908并行A /D转换器件的接口电路图,提出基于器件工作时序进行汇编程序设计的基本技巧。本系统包括温度传感器,数据传输模块,温度显示模块和温度调节驱动电路,其中温度传感器为数字温度传感器AD590,包括了单总线数据输出电路部分。文中对每个部分功能、实现过程作了详细介绍。 关键词:单片机、汇编语言、ADC0809、温度传感器AD590

Abstract Temperature is the most common one of process parameters in automatic control and industrial production. In the traditional temperature measurement system design, often using simulation technology to design, and this will inevitably encounter error compensation, such as lead,complex outside circuit,poor anti-jamming and other issues, and part of a deal with them Improperly, could cause the entire system of the decline. With modern science and technology of semiconductor development, especially large-scale integrated circuit design technologies, digital, miniaturization, integration sensors are becoming an important direction of development. In the control systems with the core of SCM,assembly language programming is used to achieve the control of the whole system.Combining with the operation sequence of ADC0809,the interface circuit diagrams of 80C51 SCM and ADC0809 parallel A/D conveger ale given.The basic skills of assembly language programming based on the operation se—quenee of the chip ale put forward.This system include temperature sensor and data transmission, the moduledisplays

单片机数据采集控制系统

《单片机数据采集控制系统》课程设计报告一、前言 通常是指有若干相互连接、相互作用的基本电路组成的具有特定功能的电 路整体。由于大规模集成电路和模拟-数字混合集成电路的大量出现,在单 个芯片上可能集成许多种不同种类的电路。 二、课程设计的目的和要求 2.1、课程设计的目的 运用模拟电子技术、数字电子技术、单片机原理及其应用等课程知识,根据题目要求进行软硬件系统的设计和调试,从而加深对本课程知识的理解, 把学过的比较零碎的知识系统化,比较系统的学习开发单片机应用系统的基本步骤和基本方法,使学生应用知识能力、设计能力、调试能力以及报告撰写能力等有一定的提高。 2.2、课程设计要求 用8051单片机设计数据采集控制系统,基本要求如下: 1、可实现8路数据的采集,假设8路信号均为0-5V的电压信号; 2、采集数据可通过数码管显示,显示格式为:[通道号] 电压值,如[01] 4.5 3、可通过键盘设置采集方式;(单点采集、多路巡测、采集时间间隔*) 4、具有异常数据声音报警功能:对第一路数据可设置正常数据的上限值和 下限值,当采集的数据出现异常,发出报警信号。(LED显示报警) 5、可输出8路顺序控制信号,设每路顺序控制信号为一位,顺序控制的流 程为:

三、总体设计 实验原理:从A/D 转换器入手,通过编程,实现硬件上的八路数据采集、采集数据显示、通过键盘设计采集、实现上下限的报警功能、八路顺序控制信号。 四、硬件设计 4.1各种芯片的功能、引脚、相应的命令控制字格式的介绍 1、MCS-51 芯片介绍:MCS-51系列单片机是美国Intel 公司开发的8位单片机又可以分为多个子系列。MCS-51 123456789101112131415403938373635343332313029282726P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7RST INT0/P3.2INT1/P3.3V CC P0.0/AD 0P0.1/AD 1P0.2/AD 2P0.3/AD 3P0.4/AD 4P0.5/AD 5P0.6/AD 6P0.7/AD 7EA/V PP ALE/PROG PSEN P2.7/A 15P2.6/A 14P2.5/A 13803180518751 八路数据采集模块 显示模块 键盘模块 报警模块 八路顺序控制模块 8051单片机

数据采集系统的历史与发展

数据采集系统的历史与发展 数据采集系统起始于20设计50年代,1956年美国首先研究了用在军事上的测试系统,目标是测试中不依靠相关的测试文件,由非熟练人员进行操作,并且测试任务是由测试设备高速自动控制完成的。由于该种数据采集测试系统具有高速性和一定的 灵活性可以满足众多传统方法不能完成的数据采集和测试任务,因而得到了初步的认可。大约在60年代后期,国外就有成套的数据采集设备产品进入市场,此阶段的数据采集设备和系统多属于专业的系统。 20世纪70年代中后期,随着微型的发展,诞生了采集器,仪表同计算机溶于一 体的数据采集系统。由于这种数据采集系统的性能优良,超过了传统的自是这一类的 典型代表。这种接口系统采用积木式结构,把相应的接口卡装在专用的机箱内,然后 由一台计算机控制。第二类系统在工业现场应用较多。这两种系统中,如果采集测试 任务改变,只需将新的仪用电缆接入系统,或将新卡在添加的专业的机箱里即可完成 硬件平台中建,如果采集测试任务改变,只需将新的仪用电缆接入系统,或将新卡再 添加到专用的机箱即可完成硬件平台重建,显然,这种系统比专用系统灵活得多。20 世纪80年代后期,数据采集系统发生了极大的变化,工业计算机,单片机和大规模集成电路的组合,用软件管理,使系统的成本降低,体积减小,功能成倍增加,数据处 理能力大大加强。 20世纪90年代至今,在国际上技术先进的国家,数据采集技术已经在军事,航 空电子设备及宇航技术,工业等领域被广泛应用。由于集成电路制造技术的不断提高,出现了高性能,高可靠性的单片数据采集系统(DAS)。目前有的DAS产品精度已达16位,采集速度每秒达到几十万次以上。数据采集技术已经成为一种专门的技术,在工业领域得到了广泛的应用。该阶段数据采集系统采用更先进的模块式结构,根据不 同的应用要求,通过简单的增加和更改模块,并结合系统编程,就可扩展或修改系统,迅速地组成一个新的系统。该阶段并行总线数据采集系统高速,模块化和即插即用方 向发展,典型系统有VXI总线系统,PCI,PXI总线系统等,数据位以达到32位总线宽度,采用频率可以达到100MSps。由于采用了高密度,屏蔽型,针孔式的连接器和卡 式模块,可以充分保证其隐定性急可靠性,但其昂贵的价格是阻碍它在自动化领域取 得了成功的应用。 串行总线数据采集系统向分布式系统结构和智能化方向发展,可靠性不断提高。 数据采集系统物理层通信,由于采用RS485双绞线,电力载波,无线和光纤,所以其技术得到了不断发展和完善。其在工业现场数据采集和控制等众多领域得到了广泛的 应用。由于目前局域网技术的发展,一个工厂管理层局域网,车间层的局域网和底层 的设备网已经可以有效地连接在一起,可以有效地把多台数据采集设备联在一起,以 实现生产环节的在线实时数据采集与监控。

嵌入式系统开发课程-多路数据采集系统设计

嵌入式系统开发课程-多路数据采集系统设计 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

多路数据采集系统设计

1题目要求 所设计的数据采集系统,共有16路信号输入,每路信号都是0~10mV,每秒钟采集一遍,将其数据传给上位PC计算机,本采集地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,芯片用 MAX232。 设计其电路原理图,用C51语言编制工作程序。 2总体方案设计 根据题目要求,传感器首先采集16路信号,然后被多路模拟开关4067选通某一路信号,接着通过信号调理电路,由A/D转换器进行模/数转换后发送给单片机,之后通过MAX232由RS232串口进行通讯,最终将数据传递到上位PC计算机。因此,数据采集系统主要包括以下几个主要环节: 2.1信号选通环节 由于题目要求采集的信号路数达到了16路,每一路信号的流通路线均相同。如果为每路信号都设置相应的放大、A/D转换单元,成本将大幅度提升。因此可以接入一个多路模拟开关4076,轮流选通每一路信号,实现多路信号共用一个运算放大器和A/D转换单元,即降低了成本,又简化了电路。 4067为16路模拟开关,其内部包括一个16选1的译码器和被译码输出所控制的16个双向模拟开关。当禁止端INH置0时,在I/N0-I/N15中被选中的某个输入端与输出公共端X接通,外部地址输入端A、B、C、D决定了被选通端;当INH置1时,所有模拟开关均处于断路状态。 2.2信号调理电路 为了方便信号的进一步传输和处理,一般均要在传感器的输出端接入信号调理电路,对传感器输出的信号进行变换、隔离、放大、滤波等处理。此处的信号波动范围只有0~10mV,属于微弱信号,需要进行放大处理。按照题目要求,本文设计的系统选用运算放大器OP07。OP07是一种高精的度单片运算放大器,其输入失调电压和漂移值均很低,适合用作前级放大器。 2.3A/D转换器 由于单片机只能处理数字信号,所以需要接入A/D转换器将模拟信号转换成数字信号。本文采用题目提供的ADC0809,它可以和单片机直接通讯。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 此处采用中断的方式使数据在单片机与ADC0809之间进行交换,端口地址为 FF50H;P0口和WR信号共同生成单片机的启动转换信号;为了在启动转换的同时选通通道,将通道地址锁存信号ALE与START相连;把P0口和RD同时处在有效位的组

数据采集与传输系统实验报告

(此文档为word格式,下载后您可任意编辑修改!) 数据采集与传输系统 摘要 该数据采集与传输系统以89C51及89C2051为核心,由数据采集模块、调制解调模块、模拟信道、测试码发生器、噪声模拟器、结果显示模块等构成。在本方案中仅使用通用元器件就较好的实现了题目要求的各项指标。其中调制解调模块、噪声模拟器分别采用单片机和可编程逻辑器件实现。本数据采集与传输系统既可对8路数据进行轮检,也可设置为对一路数据单独监控。本系统硬件设计应用了EDA 工具,软件设计采用了模块化的编程方法。传输码元速率为16kHz~48kHz的二进制数据流。另外,还使用了“1”:“01”、“0”:“10”的Manchester编码方法使数据流的数据位减少,从而提高传输速率。

一、方案设计与论证 首先,我们分析一下信道与信噪比情况。本题中码元传输速率为16k波特,而信号被限定在30k~50kHz的范围内,属于典型的窄带高速率数字通信。而信噪比情况相对较好。这是因为信号带宽仅为20kHz,而噪声近似为0~43kHz()的窄带白噪声,这样即使在信号和噪声幅度比值为1:1的情况下,带内的噪声功率仍然比较小,所以系统具有较高的信噪比。 方案一: 常用的数字调制系统有:ASK、FSK、PSK等。其中FSK具有较强的抗干扰能力,但其要求的的带宽最宽,频带利用率最低,所以首先排除。ASK理论上虽然可行,但在本题目中,由于一个码元内只包括约两个周期的载波,所以采用包络检波法难以解调,也不可行。另外,对于本题目,还可以考虑采用基带编码的方法进行传输,如HDB3码,但这种编码方法其抗干扰能力较差,因此也不太适合。 方案二: PSK调制方式具有较强的抗干扰能力,同时其调制带宽相对也比较窄,因此我们考虑采用这种调制方式。为了简化系统,在实际实现时,我们采用了方波作为载波的PSK调制方式。当要求的数据传输速率较低(≤24kbps)时,对原始数据处理的方法如下:

油井数据采集与远程控制系统设计方案

油井数据采集与远程控制系统设计方案 技 术 设 计 方 案 介 绍 公司简介 我公司专业从事数字网络视频监控系统、智能视频分析、机房动力环境监控、机房建设、雷达测速、闯红灯电子警察抓拍、电子治安卡口、智能控制等智能化系统开发的大型综合型企业,欢迎来电洽谈业务! 质量方针:以人为本、质量第一 公司成立至今,坚持以领先的技术、优良的商品、完善的售后服务、微利提取的原则服务于社会。我公司为您提供的产品,关键设备采用高质量进口合格产品,一般设备及材料采用国内大型企业或合资企业的产品,各种产品企业都通过 ISO9001国际质量体系认证。有一支精良的安防建设队伍,由专业技术人员为您设计,现场有专业技术人员带领施工,有良好职业道德施工人员。我公司用户拥有优质的设计施工质量和优质的售后服务保障。 客户哲学:全新理念、一流的技术、丰富的经验,开创数字新生活 专注——维护世界第一中小企业管理品牌、跟踪业界一流信息技术、传播经营管理理念是莱安永恒不变的追求,莱安坚持“全新的理念、一流的技术、丰富的经验、优质的服务”,专注于核心竞争力

的建设是莱安取得今天成功的根本,也必将是莱安再创辉煌的基础! 分享——“道不同,不相谋”,莱安在公司团队之间以及与股东、渠道伙伴、客户之间均倡导平等、共赢、和谐、协同的合作文化,在迎接外部挑战的过程中,我们共同期待发展和超越,共同分享激情与快乐!“合作的智慧”是决定莱安青春永葆的最终动力! 客户服务:以高科技手段、专业化的服务为客户创造价值 分布于神州大地各行业中的800万中小企业是中国最具活力的经济力量,虽然没有强势的市场影响力和雄厚的资金储备,但无疑,个性张扬的他们最具上升的潜力,后WTO时代市场开放融合,残烈的竞争使他们的发展更加充满变数。基于以上认识,在智能化设备管理市场概念喧嚣的热潮中,独辟“实用主义”产品哲学,莱安将客户视为合作关系,我们提供最为实用的产品和服务,赢得良好的口碑。我们认为,用户企业运做效率的提升是莱安实现社会价值的唯一途径。 承蒙广大用户的厚爱,我公司得以健康发展。在跨入新的世纪后,公司将加快发展速度,充分发挥已有资源,更多地开展行业用户的服务工作,开创新的发展局面。 我公司全体员工愿与社会各界携手共创未来!我们秉承真诚合作精神向广大客户提供相关的系统解决方案,设备销售及技术支持,价格合理,欢迎来人来电咨询、洽谈业务! 油井数据采集与远程控制系统设计方案 一、系统概述 该系统是一套集自动化技术、计算机技术、网络技术、系统工程技术以及油气田开发专业技术于一体,采用高精度微功耗无线传感器,测量载荷、冲程、冲次、油温、油压、套压、回压、转速、电量等工况数据,为用户提供的油田单井管理自动化解决方案,可以对油井的数据进行实时采集、实时分析,还有间抽、采集密度、开关井、远程变频等远程控制功能。

51单片机数据采集系统[1]

课程设计报告书 设计任务书 一、设计任务 1一秒钟采集一次。 2把INO口采集的电压值放入30H单元中。 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1. 采用8051和ADC0809构成一个8通道数据采集系统。 2. 能够顺序采集各个通道的信号。

3. 采集信号的动态范围:0~5V。 4. 每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D转换器循环采样模拟电压,每隔一定时间去采样一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。 目录 第一章系统设计要求和解决方案 第二章硬件系统 第三章软件系统 第四章实现的功能 第五章缺点及可能的解决方法 第六章心得体会

附录一参考文献 附录二硬件原理图 附录三程序流程图 第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如图1-1所示

信号采集分析 被测电压为0~5V 直流电压,可通过电位器调节产生。 信号采集 多路数据采集系统多采用共享数据采集通道的结构形式。 数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地址可随意选择,控制多路传输门开启的通道地址码由存储器中读出的指令确定。即改变存储器中的指令内容便可改变通道地址。 由于顺序控制数据采集方式 缺乏通用性和灵活性,所以本设计中选用程序控制数据采集方式。 采集多路模拟信号时,一般用多路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是 图1-3 程序控制数据采集原理 图1-1 一般系统框图

相关文档
最新文档