基于SystemView的MSK的调制与解调仿真

基于SystemView的MSK的调制与解调仿真
基于SystemView的MSK的调制与解调仿真

一、实验名称:基于System View的MSK通信系统的仿真

二、实验环境:一台电脑、操作系统、System View软件

三、实验目的:1.掌握电路设计的基本思路和方法;

2.掌握系统各功能模块的基本工作原理;

3.提高对所学理论知识的理解能力;

4.能提高对所学知识的实际应用能力;

四、实验要求:1.学习System View仿真软件的使用;

2.对需要仿真的通信系统各功能模块的工作原理进行分析;

3.提出系统的设计方案,选用合适的模块;

4.对所设计系统进行仿真;

5.并对仿真结果进行分析。

五、实验原理:

1、MSK 的调制原理

MSK(最小频移键控)是移频键控FSK的一种改进形式。在FSK方式中,每一码元的频率不变或者跳变一个固定值,而两个相邻的频率跳变码元信号,其相位通常是不连续的。MSK方式,是FSK信号的相位始终保持连续变化的一种特殊方式,可以看成是调制指数为0.5的一种CPFSK信号。它是2FSK的一种特殊情况,在相邻符号交界处相位保持连续,具有正交信号的最小频差。

最小频移键控(MSK)信号是一种包络恒定、相位连续、带宽最小并且严格正交的2FSK信号,其波形图如下:

MSK 信号可以看成是一种特殊的相位连续2FSK 信号,即保证两个频率键控信号正交的前提下,使用最小的频偏,此时必须满足:

MSK信号第n个码元的时间函数:

MSK 具体调制过程如下:先将输入的基带信号进行差分编码,然后经串/并转换将其分成I、Q 两路,并互相交错一个码元宽度,再用加权函数cos(πt/2T b)和sin(πt/2T b)分别对I、Q 两路数据加权,然后将两路数据分别用正交载波调制,最后将I、Q 两路调制信号相加即得到MSK 调制信号。调制原理如图(1)所示。

2、MSK 的解调原理

由于MSK信号是他、一种2FSK信号,所以它也像2FSK信号那样,可以采用相干解调或非相干解调的方法,除此之外,MSK信号还可以采用延时判决相干解调的方法。

六、实验内容

1.MSK调制

2.MSK解调

七、实验结果:

1.MSK调制

基带信号波形

串并变换后的I路信号

串并变换后的Q路信号

I路的加权信号

Q路的加权信号

MSK调制输出信号2.MSK解调

MSK解调输出信号

调制信号的功率谱密度

实验分析:

在本次的仿真过程中,系统的实现是分两个阶段来完成的。首先,是要单独地实现MSK信号的数字化调制,本次实验是对一种理想化的信号进行的调制;其次,是对与MSK信号的数字化解调的实现,由于解调是对已调信号而言的,所以在调制完成后直接进行了解调。

在本次试验中,我采用了频率为100Hz的PN seq作为原始的输入信号,在通过采样器之后的采样值经过串并变化器后分为两路信号,并且相互交错一个码元宽度。再分别对这两路信号进行加权和正交载波调制,然后相加后得到调制后的MSK信号。

再将之前调制好的信号作为原始信号,把f=1/4T的正弦波和余弦波分别经过乘法器与已调信号相乘,然后通过科斯塔斯环,捕获高频载波,经过乘法器完成相干解调。然后再通过低通滤波器滤除高频分量,再抽样和比较判决。经抽样保持以后在进行串并转换,最后将两路信号送入加法器进行合并,得到解调信号。

参数设置:

八、心得体会

MSK信号是2FSK的改进,具有恒定包络,相位连续,频谱带宽窄等许多优点。MSK 具有两种调制方法,即正交调制和CPE+MM调制。由于MSK信号是一种2FSK信号,所以它也像2FSK信号那样,可以采用相干解调或非相干解调方法,除此之外,MSK 信号还可以采用时延判决相干解调的方法。

在这为期一周的时间里,从第一天的查资料开始,这是一个从无到有的过程,在研究了书和许多论文资料之后,我开始着手于本次的课题:基于System View的MSK的调制与解调仿真分析。在刚开始还处于起步的状态,原理图的问题、参数的问题、波形调整的问题接连不断,例如,在MSK信号的调制过程中,有两个载波,这两个载波需要不同的频率参数,但是一开始并没有意识到只一点。很多很多的问题在与同学的讨论中得以解决。特别是第二天上午的交流会,在听别的同学的讲解过程中有许多模糊的问题许多没有注意到的问题被别人提出了,也有很多同学分享了一些自己的错误经验,之中也有一些相似的问题让我收获良多、得以解决自己的问题。

本次的课程设计不仅让我熟悉了System View软件的操作,同时也学习了MSK信号的原理以及对其调制与解调的仿真。时间比较短,但是充实、获益良多,也锻炼了自己自主学习的能力,同时也很感谢同学与老师在此期间的帮助。

MSK信号调制与研究

摘要 由于数字通信的高速发展,信息传输的带宽效率一直为人们所关注,对高效调制技术的探索具有重大的现实意义。随着社会信息化进程的加快,人们对通信的需求日益迫切,对通信质量的要求也越来越高。然而通信频谱是有限的,频率资源严重不足与高速可靠的信息传输存在着日益突出的矛盾,高效频谱利用率的数据传输已经成为当代通信技术梦寐以求的目标。怎样更有效的使用这些有限的频谱,如何节省频谱,高效利用频带成为通信领域研究的焦点。MSK是移频键控FSK的一种改进形式,他是许多调制方案中的一种类型,MSK可以解决OQPSK调制方式中不能解决包络起伏的问题,从而能够产生恒定包络、相位连续的调制信号[1]。因此对MSK 进行了深入的理论研究,为完善数字通信技术做出一点贡献。 现代数字调制技术的发展方向是最小功率谱占有率的恒包络数字调制技术。现代数字调制技术的关键在于相位变化的连续性,从而减少频率占用。本文的研究对象就是恒包络技术中的最小频移键控调制技术,其优良的特性使其在当今无线电通信系统中得到了大量的应用。本文还引出了最小频移键控的基本原理、调制原理、及其几种调制方式,并且比较了几种调制方式的优劣,最终选用了使用C52单片机进行调制,matlab进行仿真。 关键词:最小频移键控;单片机;调制器;matlab仿真

Abstract Due to the rapid development of digital communications, bandwidth efficient transmission of information has been of concern for people, of great practical significance to explore efficient modulation techniques. With the acceleration of the process of information society, people increasingly urgent need for communication, communication quality requirements are increasing. Communications spectrum is limited, however, a serious shortage of information transmission frequency resources and the presence of high-speed and reliable increasingly prominent contradiction, efficient spectrum utilization data transfer has become the holy grail of modern communications technology. How to more efficient use of the limited spectrum of these ways to reduce the spectral efficient use of the band becomes the focus of research in the field of communication. FSK Frequency Shift Keying MSK is a modification of that he is one of many types of modulation schemes, MSK OQPSK modulation method can solve the envelope fluctuation can not solve the problem, it is possible to generate a constant envelope, continuous phase modulation signal. Therefore MSK-depth theoretical study, to improve digital communications technology to make that contribution. Development direction of modern digital modulation techniques is the smallest share of the power spectrum of constant envelope digital modulation techniques. The key technology of modern digital modulation of the phase change is continuous, thereby reducing the frequency of usage. The object of study is the constant envelope techniques minimum shift keying modulation technology, its excellent features make it get a lot of applications in today's radio communication system. It also leads to the basic principles of minimum shift keying modulation principle, and several modulation schemes, and compare the advantages and disadvantages of several modulation schemes, the final selection is modulated using a C52 microcontroller, matlab simulation. Key words:Minimum Shift Keying;MCU;Modulator; matlab simulation

基于SystemView的MSK系统的仿真实现.

JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 通信原理课程设计报告 课程设计题目:基于SystemView的MSK系统的仿真实现班级: 学号: 姓名: 指导教师姓名: 设计地点: 2015年9月13日

通信电子线路实验与设计报告 目录 序言 (2) 第1章软件简介 (3) 第2章工作原理 (6) 第3章MSK调制的仿真 (8) 3.1仿真的方案与原理 (8) 3.1.1系统参数 (8) 3.2建模的思想 (8) 3.3仿真的框图 (8) 3.4仿真结果分析 (10) 3.4.1差分编码电路 (10) 3.4.2串并/交换电路 (11) 3.4.3加权调制 (12) 3.4.4正交载波调制 (13) 参考文件 (16) 体会与建议 (17) 附录 (18)

通信电子线路实验与设计报告 序言 随着数字通信技术的日益发展和广泛应用,数字调制技术作为这个领域中极为重要的一个方面得到了迅速发展。特别是今年来随着远距离数字通信的发展,系统中出现了新的问题——信道中同时存在着带限与线性的特性。在这种信道条件下,传统的数字调制方式则面临这一场新的挑战。为了适应这类信道的特性,又发展起来了一种新的数字调制方式技术——现代恒定包络数字调制技术。 人类社会是建立在信息交流基础上的,通信是推动人类社会文明、进步与发展的巨大动力,特别是当今信息社会,通信更是整个社会的高级“神经中枢”。而通信系统的质量在很大程度上依赖与所采用的调制方式。现代恒定包络数字调制技术的发展过程,就是已调波的相位路径不断得到改进与完善的过程。因为一个已调波的频谱特性与其相 位路径有着紧密的联系( () d t dt θ ω=)。为了控制已调波的频谱特性,则必须控制它的相 位路径。首先出现的是二相移相键控(BPSK),继而,为了提高信道频带利用率,又在它的基础上提出了四相移相键控(QPSK)。这两种调制方式所产生的已调波,在码元转换时刻上都可能产生180o相位突跳,使得功率谱高频滚降缓慢,带外辐射大。为了消除180o相位突跳,在QPSK基础上又提出了交错正交移相键控(OQPSK),它虽然克服了180o 相位突跳的问题,但是,在码元转换时刻上仍可能有90o的相位突跳,同样使的功率谱高频不能很快地滚降,为了彻底解决相位突跳的问题,人们很自然地会想到相邻码元间的相位变化不应该瞬时地突变,而应该在一个码元时间内逐渐累积来完成,从而保持码元转换时刻相位联系,于是又提出了最小移频键控(MSK)。本文将在讨论MSK信号的基础上,研究其调制的理论基础,并提出调制的实现方案,最终用SystemView仿真软件对其进行仿真,进而证明MSK调制技术的优越性。

数字调制技术之MSK汇总

HEFEI UNIVERSITY 现代数字调制技术之MSK 系别 专业 班级 学号 姓名 指导老师 完成时间

摘要: 最小频移键控(Minimum-Shift Keying,缩写:MSK),是数字通信中一种连续相位的频移键控调制方式。类似于偏移四相相移键控(OQPSK),MSK同样将正交路基带信号相对于同相路基带信号延时符号间隔的一半,从而消除了已调信号中180°相位突变的现象。与OQPSK不同的是,MSK采用正弦型脉冲代替了OQPSK基带信号的矩形波形,因此得到恒定包络的调制信号,这有助于减少非线性失真带来的解调问题,可以用于特殊的一些场合。 关键词:MSK 正交性相位连续性调制解调功率谱特性

1、最小频移键控(MSK)的介绍 最小频移键控(Minimum-Shift Keying,缩写:MSK),是数字通信中一种连续相位的频移键控调制方式。 OQPSK和π/4-QPSK因为避免了QPSK信号相位突变180度的现象,所以改善了包络起伏,但并没有完全解决这一问题。由于包络起伏的根本原因在于相位的非连续变化,如果使用相位连续变化的调制方式就能从根本上解决包络起伏问题,这种方式称为连续相位调制。 最小频移键控(MSK)是2FSK的改进,它是二进制连续相位频移键控的一种特殊情况。2FSK信号虽然性能优良,易于实现,并得到了广泛的应用,但它还存在一些不足之处。首先,它的频带利用率较低,所占用的频带宽度比2PSK 大;其次,用开关法产生的2FSK信号其相邻码元的载波波形的相位可能不连续,通过带限系统后,会产生影响系统性能的包络起伏。此外,2FSK信号的两种波形不一定保证严格正交,而对于二进制数字调制信号来说,两种信号相互正交将改善系统的误码性能。为了克服上述缺点,对2FSK信号进行改进,提出MSK 调制方式。 MSK称为最小频移键控,有时也称为快速频移键控,所谓最小是指这种调制方式能以最小的调制指数(0.5)获得正交信号;而快速的含义是指在给定同样的频带内,MSK能比2PSK的数据传输速率更高,且带外频谱分量衰减得比2PSK快。 总结如下: 1.1、FSK的不足之处 (1)频带利用率低,所占频带宽度比2PSK大; (2)存在包络起伏,用开关法产生的2FSK信号其相邻码元的载波波形的相位可能不连续,会出现包络的起伏; (3)FSK信号的两种波形不一定保证严格正交。 1.2 、MSK信号的特点 (1)MSK信号的包络恒定不变; (2)MSK是调制指数为0.5的正交信号,频率偏移等于(±1/4Ts)Hz; (3)MSK波形的相位在码元转换时刻是连续的; (4)MSK波形的附加相位在一个码元持续时间内线性地变化±π/2 。

基于MATLAB的FSK调制解调1

基于MATLAB的FSK调制解调 学生姓名:段斐指导老师:吴志敏 摘要本课程设计利用MATLAB集成环境下的M文件,编写程序来实现FSK 的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,并观察解调前后频谱有何变化以加深对F SK信号解调原理的理解。对信号叠加噪声,并迚行解调,绘制出解调前后信号的时频波形,改变噪声功率迚行解调,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。完成整个FSK的调制解调过程。程序开发平台为MATLAB7.1,使用其自带的M文件实现。运行平台为Windows 2000。 关键词:程序设计;FSK ;调制解调;MATLAB7.1;M文件 1引言 本课程设计是利用MATLAB集成环境下的M文件,编写程序来实现FSK 的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。 1.1课程设计目的 此次课程设计的目的是熟悉MATLAB中M文件的使用方法,编写M文件实现FSK的调制和解调,绘制出FSK信号解调前后在时域和频域中的波形,观察调解前后频谱的变化,再对信号迚行噪声叠加后解调同样绘制解调前后的

信号时频波形,最后改变噪声功率迚行调解,分析噪声对信号传输造成的影响,加深对FSK信号解调原理的理解。 1.2课程设计要求 熟悉MATLAB中M文件的使用方法,并在掌握FSK调制解调原理的基础上,编写出F SK调制解调程序。在M文件环境下运行程序绘制出F SK信号解调前后在时域和频域中的波形,观察波形在解调前后的变化,对其作出解释,同时对信号加入噪声后解调,得到解调后的时频波形,分析噪声对信号传输造成的影响。解释所得到的结果。 1.3课程设计步骤 本课程设计采用M文件编写的方法实现二迚制的FSK的调制与解调,然后在信号中叠加高斯白噪声。一,调用dmode函数实现FSK的解调,并绘制出F SK信号调制前后在时域和频域中的波形,两者比较。二,调用ddemod函数解调,绘制出F SK信号解调前后在时域和频域中的波形,两者比较。三,调用awgn函数在新海中叠加不同信噪比的噪声,绘制在各种噪声下的时域频域图。最后分析结果。 1.4设计平台简介 Matlab是美国MathWorks公司开发的用于概念设计,算法开发,建模仿真,实时实现的理想的集成环境。是目前最好的科学计算类软件。 作为和Mathematica、Maple并列的三大数学软件。其强项就是其强大的矩阵计算以及仿真能力。Matlab的由来就是Matrix + Laboratory = Matlab,这个软件在国内也被称作《矩阵实验室》。Matlab提供了自己的编译器:全面兼容C++以及Fortran两大语言。Matlab 7.1于2005.9最新发布-完整版,提供了

MSK调制与解调

信息科学与技术学院 通信原理 课程设计报告 课题名称:MSK系统的调制和解调 学生姓名: 学号: 专业年级:电子信息工程10级 班级:二班 指导教师: 完成时间:2013-7-10

目录 1.直流电机控制系统概述 .................................................................................... 错误!未定义书签。 1.1系统描述.......................................................................................... 错误!未定义书签。 1.2直流电机概述.................................................................................. 错误!未定义书签。 2.题目及要求........................................................................................................ 错误!未定义书签。 2.1 题目................................................................................................. 错误!未定义书签。 2.2要求.................................................................................................. 错误!未定义书签。3直流电机功能设计及描述 ................................................................................. 错误!未定义书签。 3.1直流电机的介绍.............................................................................. 错误!未定义书签。 3.1.1直流电机的结构.................................................................. 错误!未定义书签。 3.1.2直流电机的工作原理.......................................................... 错误!未定义书签。 3.1.3直流电机主要技术参数...................................................... 错误!未定义书签。 3.1.4直流电机的特点.................................................................. 错误!未定义书签。 3.1.5直流电机的用途.................................................................. 错误!未定义书签。 3.2数码管转速显示.............................................................................. 错误!未定义书签。 3.3电动机驱动电路设计...................................................................... 错误!未定义书签。 3.4控制直流电机的状态...................................................................... 错误!未定义书签。 3.5模块流程.......................................................................................... 错误!未定义书签。 4.总体方案设计 .................................................................................................... 错误!未定义书签。 4.1 设计思路....................................................................................... 错误!未定义书签。 4.2 设计原理....................................................................................... 错误!未定义书签。 4.3运行环境.......................................................................................... 错误!未定义书签。 4.4详细设计.......................................................................................... 错误!未定义书签。 4.4.1 所需元件及功能................................................................. 错误!未定义书签。 5.直流电动机调速控制电路仿真 ........................................................................ 错误!未定义书签。 5.1原理图布局...................................................................................... 错误!未定义书签。 5.2运行结果图...................................................................................... 错误!未定义书签。 6.总结.................................................................................................................... 错误!未定义书签。 7.参考文献............................................................................................................ 错误!未定义书签。 8.源代码................................................................................................................ 错误!未定义书签。

MSK调制解调实现说课讲解

M S K调制解调实现

4.2最小频率键控(MSK ) 4.2.1 MSK 基本原理 MSK 信号是一种相位连续、包络恒定并且占用带宽最小的二进制正交FSK 信号。它的第k 个码元可以表示为: ())(k k s k t T a t w t s φπ ++ =2cos ()kT t T k ≤<-1 (2-1) 式中,s s f w π2=为视在角载频;1±=k a ;T 为第k 个码元确定的初始相位。 由上式可以看出,当1+=k a 时,码元频率1f 等于T f s 4/1+;当1-=k a 时,码元频率0f 等于T f s 4/1-。故1f 和0f 的距离等于T 2/1。这是2FSK 信号最小频率间隔。 式(2-1)可以用频率为s f 的两个正交分量表示。将式(2-1)进行三角公式变换,得到: t w T t q t w T t p t s s k s k k sin 2sin cos 2cos )(ππ-= ()kT t T k ≤<-1 (3-1) 式中, 1cos ±==k k p ? 1cos ±==k k k a q ? (3-2) 式(2-2)表示,此MSK 信号可以分解为同相分量(I )和正交分量(Q )两部分。 MSK 信号的调制 由式(2-2)可知,MSK 信号可以用两个正交的分量表示。根据该式构成 的MSK 信号的产生方框图如图 2-2所示。

图2-2 MSK调制原理图 MSK信号的解调 由于MSK信号是最小二进制FSK信号,所以它可以采用解调FSK信号的相干法和非相干法解调。 图2-3是MSK信号的解调原理框图。 图2-3 MSK信号的解调原理图

FSK调制

二进制移频键控(2FSK) 一、实验目的 1、掌握2FSK调制原理及其实现方法 2、掌握2FSK解调原理及其实现方法 3、了解非线性调制时信号的频谱变化 二、实验内容 1、理解2FSK的调制和解调原理并用SystemView软件仿真其实现过程 2、用SystemView分析二进制移频键控调制前后信号频谱的变化 三、实验原理 1. 调制 FSK是用不同频率的载波来传递数字消息的。 二进制移频键控(2FSK):用二进制的数字信号去控制发送不同频率的载波。即传“1”信号时发送频率为f1的载波;传“0”信号时发送频率为f2的载波。这种调制属于非线性调制。 2FSK的时域表达式为: 其中: 为a n的反码。 2FSK调制方法有两种: (一)可以用矩形脉冲序列对一个载波进行调频而实现这也是利用模拟调频法实现数字调制的方法,框图如图2-8所示: 图2-8 2FSK模拟调制法原理框图 (二)键控法即用矩形脉冲序列对两个不同频率的载波进行选通框图如图2-9所示: 图2-9 2FSK键控法原理框图 2. 解调 2FSK的解调方法有非相干解调和相干解调,如图2-10所示:

图2-10 2FSK解调原理方框图 这里的抽样判决器与2ASK解调时不同,只需判断哪一个输入样值大不专门设置门限电平。 四、2FSK调制解调系统的SystemView仿真 1. 调制仿真框图及参数设置 键控法: 参数设置 系统时钟:No. of Sample: 1001; Sample Rate: 10000Hz; No.of System Loop: 1 器件参数 矩形脉冲 0 1V; 100Hz; Offset 0; 0deg 正弦信号 1 1V; 500Hz; 0deg 正弦信号 2 1V; 1000Hz; 0deg; 双刀开关 5 Logic---MixedSignal---SPDT;Gate Delay 0; Ctrl Thresh 0.5V 2、解调仿真原理图及参数设置

基于Matlab的MSK仿真与实现

科技信息博士·专家论坛引言 最小移频键控(M S K)是二进制连续相位F S K的一种特殊 形式。最小移频键控又称快速移频键控(FF S K)。这里“最小”指 的是能以最小的调制指数(即0.5)获得正交信号;而“快速”指的 是对于给定的频带,它能比P S K传送更高的比特速率。M S K信 号具有恒定包络,在码元转换时刻相位保持连续,以载波为基 准的信号相位在一个码元期间内线性地变换,信号功率谱具有 在主瓣以外衰减较快,带外辐射小等特点,是适合在窄带信道 传输的一种调制方式。 1最小频移键控(MS K)调制解调原理 M S K调制信号表达式 S M S K(t)=A[αI(t)cos(πt 2T b )c o s w c t-αQ(t)s i n(πt 2T b )s i n w c t](1) 由式(1)看出,M S K信号可采取正交调制的方法产生。当两 支路码元互相偏离T b时,恰好使cos(πt b )和s i n(πt b )错开1/4 周期,这保证了M S K信号相位的连续性。与产生过程相对应,M S K信号可采取正交相干解调的方法恢复原信息码。 2仿真 基于M A T LAB的M S K调制和解调仿真模型图如图1所示: 图1M S K调制和解调仿真模型图 模型中S u b sys te m子系统模块的内部结构如图2所示: 图2S u b sys te m的内部结构图 信号源(S c o p e模块)的仿真结果如图3所示: 图3信号源(S c o p e模块) 调制波形(S c o p e1模块)的仿真结果如图4所示: 图4调制波形(S c o p e1模块) 调解调波形(S c o p e2模块)的仿真结果如图5所示: 图5解调波形(S c o p e2模块) 3结束语 本文叙述了M S K调制和解调原理,通过M a t l a b实现了M S K调制和解调仿真。从仿真效果上看,M S K利用其正交信号的最小频差,在相邻符号交界处相位保持连续性,根本上解决了包络起伏问题,达到了预期的效果。 参考文献 [1]孙屹,李妍.MAT L A B通信仿真开发手册[M].国防工业工业出版社,2006.5. [2]樊昌信等.通信原理[M].北京:国防工业出版社,2001. [3]曹志刚等.现代通信原理[M].北京:清华大学出版社,1992. [4]刘卫国,陈昭平.MAT L A B程序设计与应用[M].北京:高等教育出版社,2001. [5]李贺冰,袁杰萍,孔俊霞.Si mul i nk通信仿真教程[M].国防工业出版社,2005.1. [6]翁剑枫,叶志前.MAT L A B L abVI E W S y st e mV i e w仿真分析基础[M].机械工业出版社,2003. 基于Matlab的M S K仿真与实现 陕西理工学院电信工程系魏瑞 [摘要]最小频移键控(MS K)是恒定包络调制技术,它具有相位连续、频带利用率高的特点,在无线通信系统中得到 了广泛地应用。本文研究了最小频移键控系统调制与解调的工作原理,并给出了基于M a t lab软件环境的仿真实现以 及最后得到的全系统的仿真运行结果。 [关键词]MS K M a t lab 仿真 385 ——

MSK调制解调概述

目录 1 绪论 (1) 1.1 数字通信的发展 (1) 1.2研究MSK数字通信系统的意义 (1) 1.3通信系统仿真的意义 (1) 2 MSK系统调制解调原理 (3) 2.1 MSK调制原理 (3) 2.2 MSK解调原理 (5) 2.3 MSK的抗噪声性 (6) 2.4 MSK功率谱密度 (7) 3 MATLAB仿真设计与系统分析 (9) 3.1 MATLAB简介 (9) .3.2 MSK信号的调制 (9) 3.3 MSK解调实现 (10) 3.4叠加噪声的MSK系统 (10) 4 MSK系统分析 (11) 4.1 MSK信号的时域调制解调分析 (11) 4.2 MSK频域分析 (12) 4.3 加噪声系统分析 (12) 4.4误码率分析 (13) 5 总结 (14) 致谢 (15) 参考文献: (16) 附录:MSK信号调制解调的源程序代码 (17)

1 绪论 1.1 数字通信的发展 通信按照传统的理解就是信息的传输与交换,为了传递消息,各种消息需要转换成电信号,消息与电信号之间必须建立单一的对应关系,否则在接收端就无法复制出原来的消息。通常,消息被载荷到电信号的某一参量上,如果电信号的该参量携带着离散消息,则该参量必将是离散取值的。这样的信号就称为数字信号。如果电信号的参量连续取值,则称这样的信号为模拟信号。按照信道中传输的是模拟信号还是数字信号,可以相应地把通信系统分为两类:数字通信系统和模拟通信系统。 自1844年5月24日莫尔斯在华盛顿和巴尔的摩之间发送世界上斯一份电报以来 ,电报通信已经经历了150多年。但是长期以来,由于电报通信不如电话通信方便,作为数字通信主要形式的电报却比1876年贝尔发明的电话发展缓慢。直到20世纪60年代已后,数字通信才日益兴旺起来,数字通信迅速发展的基本原因是它与模拟通信相比,更能适应对通信技术越来越高的要求。第一数字传输抗干扰能力强,尤其是在中中继时,数字信号可以再生而消除噪声的积累;第二,传输差错可以控制,从而改善了传输的质量;第三,便于使用现代数字信号处理技术来对数字信息进行处理;第四,数字信息易于做高保密性的加密处理;第五,数字通信可以综合传递各种消息,使通信系统功能增强。 然而,数字通信的许多优点都是用比模拟通信占据更宽的带宽的系统频带而换来的。以电话为例,一路模拟电话只占据4khz 的带宽,而一路传输质量相同的数字电话这可能要占用数十千赫兹的带宽。 在系统频带紧张的场合,数字通信这一缺点显得很突出,但是在系统频带富裕的场合,比如毫米波通信,光通信等场合,数字通信几乎成了唯一的选择。 随着计算机技木和大规模集成技术的发展,数字通信在其发展过程中表现出了强大的生命力,它冲破了传统模拟通信方式的统治,逐步地发展、完善。可以预言:随着通信事业的发展,特别是各种宽带传输技术(例如光纤传输、数字微波等)、综合业务数字网(ISDN)的实用化,全数字化的通信方式必将逐步取代模拟通信方式而得到蓬勃发展。 1.2研究MSK 数字通信系统的意义 当今社会已经步入信息时代,在各种信息技术中信息的传输及通信起着支撑作用。而在频带资源日益紧张的今天,为了提高系统的容量(满足更多的用户)信道间隔已经是一减再减已经由最初的100khz 减到了今天的12.5khz 甚至更小。数字通信系统因其组网灵活,差错控制和保密性都比较容易,而且能够进入ISDN 网所以通信系统已逐步由模拟制式向数字制式过渡,信号的调制方式也逐步由模拟方式持续、广泛地向数字方式转化,数字通信系统成为了信息的传输的一种重要手段。 然而,一般的数字调制技术,如ASK 、PSK 和FSK 因传输效率低和抗干扰能力差而无法满足移动通信的要求,为此,需要专门研究一些抗干扰性强、误码性能好、频谱利用率高的数字调制技术,尽可能地提高单位频谱内传输数据的比特率,以适用于移动通信窄带数据传输的要求。 MSK 因具有: (1)已调信号振幅是恒定的; (2)信号的频率偏移严格等于± Ts 41 ,相应的调制指数()s t f f H ?-=12=0.5; (3)以载波相位在一个码元期间内准确地线性变化2 pi ± ; (4)在一个码元期间内,信号应包含四分子一载波周期的整数倍; (5)在码元转换时刻信号的相位是连续的,或者说,信号的波形没有突变。的特点使得MSK 通信系统抗干扰能力强适用于移动通信等窄带数据传输的要求。 1.3通信系统仿真的意义 在设计新系统或者对原有的通信系统做出修改或者进行相关的研究时,通常要进行建模和仿真,通过仿真结果衡量方案的可行性,从中选择最合理的系统配置和参数设置,然后再应用于实际系统

基于verilog的fsk调制与解调(呕心沥血,极度精简)

先上程序(verilog语言编写) `timescale 1ns/1ns // 测试程序 module test; reg clk1,rst1,clk2,rst2; reg din1; wire dout1,ddout1; modulator my1(.clk(clk1),.rst(rst1),.din(din1),.dout(dout1)); demodulator my2(.clk(clk2),.rst(rst2),.ddin(dout1),.ddout(ddout1)); initial begin clk1=0; forever #25 clk1=~clk1; end initial begin clk2=0; forever #10 clk2=~clk2; end initial begin rst1=1; #15 rst1=0; #50 rst1=1; end initial begin rst2=1; #5 rst2=0; #25 rst2=1; end initial begin #25 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=1;

#400 din1=0; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=1; #1000 $stop; end endmodule module demodulator(clk,rst,ddin,ddout); //解调input clk,rst; input ddin; output ddout; reg ddout; reg [3:0]cnt3; reg temp; reg [3:0]cnt4; reg clk1; always @(posedge clk or negedge rst) begin if(!rst) cnt3<=4'b0000;

MSK系统在Simulink里的仿真学习资料

M S K系统在 S i m u l i n k里的仿真

系统设计与仿真 总体设计 MSK 只是多种调制解调模式中的一种。如下图所示:即信号源、调制部分、加性高斯白噪声信道(AWGN信道)和解调部分组成。 通过以下步骤进行研究: 1.对MSK数字通信系统调制解调原理进行分析研究并利用MATLAB软件建立仿 真模型。 2.通过前面的理论研究理解,设置仿真模型里的参数。 3.运用MATLAB软件的仿真功能,得出MSK数字通信系统各点的仿真波形图。 图 1 总体设计框图MSK系统在Simulink里的仿真仿真设计 图 2 MSK系统仿真

(1)信源部分 信源采用的是随机整数序列产生器,可以产生由0,1构成的序列。 图 3 随机整数产生器 (2) MSK调制部分 根据MSK信号表示函数可写成I/Q两路正交调制的形式,在这里采用这种方式来生成调制模块。 图 4 MSK信号调制部分 (3)加性高斯白噪声信道 加性高斯白噪声信道(AWGN 信道)是直接利用 Simulink 自带的 AWGN 模块,可以通过设置其中的信噪比来改变信道的性能。 (4) MSK解调部分 MSK作为一种特殊的2FSK,如果把MSK看成是正交2FSK,用2FSK方法进行相干解调。这里采用的是延时判决相干解调法。

图 5 MSK解调部分 仿真参数设置 调制部分 (1)随机整数产生器(Random Integer Generator) 该模块的设计主要是产生一组随机的0、1等概序列。 图 6 随机整数产生器 (2)载波与正弦形加权函数 载波可以分为I路载波和Q路载波。正弦形加权函数有同相分量正弦形加权函数和正交分量正弦形加权函数两种。

FSK调制与解调

【实验目的】 1、熟悉fsk调制与解调; 2、熟悉fpga; 3、熟悉编码与解码。 【实验原理】 信道 编码 调制 数模转换 四位一位一位一位 解码 解调 模数转换 五位一位一位 本次实验利用实验板实现了一个fsk通信系统。从按键输入一组四位码元,经过fpga编码后,形成8位码元。在这八位中,前三位固定为110,在解码时用来识别一帧的开头。最后加了一位奇偶校验。这八位在编码后,串行输出到调制部分。调制部分的调制方式是fsk调制。调制完成后,输出到数模转换部分。数模转换与模数转换部分相连,然后输出到解调部分。解调后,输出到解码部分。串行输入的码元被解码后,输出到指示灯。同时输出到指示灯的还有一位,用来指示是否接收到的信号是否有错。 【实验内容】 总框图如下: 1、调制 调制部分框图如下

RAGMO与RAGMO2是两个分频器,代码相似,只是分频数有差别。如下代码中黑体处根据系统需要更改。实际系统中,两个频率为700Hz、300Hz左右。 -- MAX+plus II VHDL Template -- Clearable loadable enablable counter LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ragmo IS PORT ( clk_input : IN STD_LOGIC; output : BUFFER STD_LOGIC ); END ragmo; ARCHITECTURE a OF ragmo IS SIGNAL hgame : INTEGER RANGE 0 TO 1023; BEGIN PROCESS (clk_input) BEGIN IF (clk_input'EVENT AND clk_input='1') THEN hgame <= hgame + 1; IF hgame = 1023 THEN output <= NOT output;

数字调制之MSK资料

现代数字调制 ---之最小频移键控 摘要:最小频移键控(MSK )是在2FSK 基础上的改进。首先介绍了2FSK 的不足,在其基础上我们研究了MSK 的工作情况。具体涉及MSK 的工作原理和特点以及实际中的应用,当然对于它的前景也是我们所关注的。 关键字:最小频移键控(MSK )、2FSK 1. 研究背景 2FSK 体制虽然性能优良、易于实现,并得到了广泛的应用,但是它的不足也是不容忽视的。首先,它占用的频带宽度比2PSK 大,即频带利用率比较低。其次,若用开关无法产生2FSK 信号,则相邻码元波形的相位可能不连续,因此在通过带通特性的电路后由于通频带的限制,使得信号波形的包络产生较大起伏。这种起伏是我们不希望有的。此外,一般来说,2FSK 信号的两种码元波形不一定严格正交。 为了克服上述缺点,对于2FSK 信号作了改进,发展出MSK 。 2. MSK 信号的基本原理 MSK 定义:最小频移键控(MSK )信号是一种包络恒定、相位连续、带宽最小并且严格正交的2FSK 信号,其波形图如下: 2. 2.1 MSK 信号的频率间隔 MSK 信号的第k 个码元可以表示为: )2cos()(k s k s k t T a t t s ?π ω++ =

式中,ωs - 载波角载频;a k = ± 1(当输入码元为“1”时,a k = + 1 ;当输入码元为“0”时,a k = - 1 );T s - 码元宽度; ?k - 第k 个码元的初始相位,它在一个码元宽度中是不变的。 由上式可以看出,当输入码元为“1”时, a k = +1 ,故码元频率f 1等于 f s + 1/(4T s );当输入码元为“0”时, a k = -1 ,故码元频率f 0等于f s - 1/(4T s )。所以, f 1 和f 0的差等于1 / (2Ts )。这是2FSK 信号的最小频率间隔。 2.2 MSK 码元中波形的周期数 可以改写为 式中 由于MSK 信号是一个正交2FSK 信号,它应该满足正交条件,即 上式左端4项应分别等于零,所以将第3项sin(2?k ) = 0的条件代入第1项,得到要求 即要求 或 上式表示,MSK 信号每个码元持续时间T s 内包含的波形周期数必须是1 / 4 周期的整数倍,即上式可以改写为 式中,N ― 正整数;m=0,1,2,3 并有 ) 4/(1) 4/(101s s s s T f f T f f -=+=0 )() 0sin()()2sin(])sin[(]2)sin[(010*********=--+--+-++++ωωωω?ωω?ωωωω?ωωk k s k s T T 0)2sin(=s s T ω... ,3,2,1, 4==n n T f s s ππs s f n T 41 =...,3,2,1=n s 1)4(4T m N T n f s s +==s s s s s T m N T f f T m N T f f 1 4141141410s 1? ?? ??-+=-=??? ??++=+ =)2cos()(k s k s k t T a t t s ?π ω++ =s s kT t T k ≤<-)1()2cos()(k s k s k t T a t t s ?π ω++ =s s kT t T k ≤<-)1(?? ?-=++=+=1 ), 2cos(1), 2cos()(01k k k k k a t f a t f t s 当当?π?πs s kT t T k ≤<-)1(

相关文档
最新文档