电子教材-四运放LM324的实用电路设计及电路原理

电子教材-四运放LM324的实用电路设计及电路原理
电子教材-四运放LM324的实用电路设计及电路原理

本文就高性能集成四运放LM324的参数,进行实用电路设计,论述电路原理。

LM324是四运放集成电路,它采用14脚双列直插塑料封装,外形如图所示。它的内部包含四组形式完全相同的运算放大器, 除电源共用外,四组运放相互独立。每一组运算放大器可用图1所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“Vo”为输出端。两个信号输入端中,Vi-(-)为反相输入端,表示运放输出端Vo的信号与该输入端的位相反;Vi+(+)为同相输入端,表示运放输出端Vo的信号与该输入端的相位相同。LM324的 引脚排列见图2

由于LM324四运放电路具有电源电压范围宽,静态功耗小,可单电源使用,价格低廉等优点,因此被广泛应用在各种电路中。 下面介绍其应用实例。

LM324作反相交流放大器

电路见附图。此放大器可代替晶体管进行交流放大,可用于扩音机前置放大等。电路无需调试。放大器采用单电源供电, 由R1、R2组成1/2V+偏置,C1是消振电容。

放大器电压放大倍数Av仅由外接电阻Ri、Rf决定:Av=-Rf/Ri。负号表示输出信号与输入信号相位相反。按图中所给数值, Av=-10。此电路输入电阻为Ri。一般情况下先取Ri与信号源内阻相等,然后根据要求的放大倍数在选定Rf。Co和Ci为耦合电容。

LM324作同相交流放大器

见附图。同相交流放大器的特点是输入阻抗高。其中的R1、R2组成1/2V+分压电路,通过R3对运放进行偏置。电路的电压放大倍数Av也仅由外接电阻决定:Av=1+Rf/R4,电路输入电阻为R3。R4的阻值范围为几千欧姆到几十千欧姆。

LM324作交流信号三分配放大器

此电路可将输入交流信号分成三路输出,三路信号可分别用作指示、控制、分析等用途。而对信号源的影响极小。因运放Ai输入电阻高,运放A1-A4均把输出端直接接到负输入端,信号输入至正输入端,相当于同相放大状态时Rf=0的情况,故各 放大器电 压放大倍数均为1,与分立元件组成的射极跟随器作用相同。

R1、R2组成1/2V+偏置,静态时A1输出端电压为1/2V+,故运放A2-A4输出端亦为1/2V+,通过输入输出电容的隔直作用,取出交流信号,形成三路分配输出。

LM324作有源带通滤波器

许多音响装置的频谱分析器均使用此电路作为带通滤波器,以选出各个不同频段的信号,在显示上利用发光二极管点亮的多少来指示出信号幅度的大小。这种有源带通滤波器的中心频率 ,在中心频率fo处的电压增益Ao=B3/2B1,品质因数

,3dB带宽B=1/(п*R3*C)也可根据设计确定的Q、fo、Ao值,去求出带通滤波器的各元件参数值。R1=Q/(2пfoAoC),R2=Q/((2Q2-Ao)*2пfoC),R3=2Q/(2пfoC)。上式中,当fo=1KHz时,C取0.01Uf。此电路亦可用 于一般的选频放大。

此电路亦可使用单电源,只需将运放正输入端偏置在1/2V+并将电阻R2下端接到运放正

输入端既可。

LM324应用作测温电路

见附图。感温探头采用一只硅三极管3DG6,把它接成二极管形式。硅晶体管发射结电压的温度系数约为-2.5mV/℃,即温度每上升1度,发射结电压变会下降2.5mV。运放A1连接成同相直流放大形式,温度越高,晶体管BG1压降越小,运放A1同相输入端的电压就越低,输出端的电压也越低。

这是一个线性放大过程。在A1输出端接上测量或处理电路,便可对温度进行指示或进行其它自动控制。

LM324应用作比较器

当去掉运放的反馈电阻时,或者说反馈电阻趋于无穷大时(即开环状态),理论上认为运放的开环放大倍数也为无穷大(实际上是很大,如LM324运放开环放大倍数为100dB,既10

万倍)。此时运放便形成一个电压比较器,其输出如不是高电平(V+),就是低电平(V-或接地)。当正输入端电压高于负输入端电压时,运放输出低电平。

附图中使用两个运放组成一个电压上下限比较器,电阻R1、R1?组成分压电路,为运放A1设定比较电平U1;电阻R2、R2?组成分压电路,为运放A2设定比较电平U2。输入电压U1同时加到A1的正输入端和A2的负输入端之间,当Ui >U1时,运放A1输出高电平;当Ui<U2,则当输入电压Ui越出[U2,U1]区间范围时,LED点亮,这便是一个电压双限指示器。

若选择U2 > U1,则当输入电压在[U2,U1]区间范围时,LED点亮,这是一个“窗口”电压指示器。

此电路与各类传感器配合使用,稍加变通,便可用于各种物理量的双限检测、短路、断路报警等。

LM324应用作单稳态触发器

见附图1。此电路可用在一些自动控制系统中。电阻R1、R2组成分压电路,为运放A1负输入端提供偏置电压U1,作为比较电压基准。静态时,电容C1充电完毕,运放A1正输入端电压U2等于电源电压V+,故A1输出高电平。当输入电压Ui变为低电平时,二极管D1导通,电容C1通过D1迅速放电,使U2突然降至地电平,此时因为U1>U2,故运放A1输出低电平。当输入电压变高时,二极管D1截止,电源电压R3给电容C1充电,当C1上充电电压大于U1时,既U2>U1,A1输出又变为高电平,从而结束了一次单稳触发。显然,提高U1或增大R2、C1的数值,都会使单稳延时时间增长,反之则缩短。

如果将二极管D1去掉,则此电路具有加电延时功能。刚加电时,U1>U2,运放A1输出低电平,随着电容C1不断充电,U2不断升高,当U2>U1时,A1输出才变为高电平。参考图2。

下面是其中的一例:

传感器输出信号一般比较微弱,需要经过前置电路对其进行放大、滤波、电平调整,满足单片机对输入信号的要求。本系统采用的半导体气体传感器属于电阻型,因此只需

串联一个参考电阻,再经过一个放大电路即可发送给ADC采集。由于系统采用的是单极性

供电,所以采用同相比例放大电路,可以减少硬件开销; 反之,如果采用反相放大,则

一般需要利用双极性供电,这就需要系统额外的利用变压芯片产生一个负压,这显然会

造成浪费。常见的运算放大器中,LM324价格低廉、使用简单等优点比较突出,所以本

设计中的前置放大电路采用LM324作为电路的运算放大器。

LM324是单片高增益四运算放大器,可在较宽电压范围内的单电源或双电源下工作,其电源电流很小且与电源电压无关,四个运放一致性好;其输入偏流电阻是温度补偿的,也不需外接频率补偿,可做到输出电平与数字电路兼容。

下面详细介绍运算放大电路:

如图3.2所示,从传感器的上端出来的信号V;经过运算放大器的同相输入端,但

是为保证引入的是负反馈,输出电压V。通过电阻凡接到反相输入端,同时,反相输入

端通过电阻R3接到参考电压

同相比例运算电路中反馈的组态为电压串联负反馈,同样可以利用理想运放工作在

线性区时的两个特点来分析其电压放大倍数。在图3.2中,根据运放的“虚短”和“虚

断”的特点可知,,

所以本放大电路的放大倍数,此放大电路为同相比例放大电路,它的放大倍数总是大于或等于1。同相比例运算电路有以下几个特占.

(1)同相比例运算放大电路是一个深度的电压串联负反馈电路。因为不存在“虚地”现象,所以其输入端有较高的共模输入电压。

(2)电压放大倍数,即输出电压与输人电压阴幅值成正比,且相位相同,所以此电路实现了同相比例放大。如果不接R3和R4,则此电路就成了“电压跟随器”,它可以减少电路模块间由十阻抗引起的干扰。

(3)由于引入了深度电压串联负反馈,因此电路的输入阻抗很高,输出阻抗很低。高输入阻抗就可以减少放大电路对前端电路的影响,同时低输出阻抗也可以提高自身的抗干扰性,这显然有利于电路中其他模块的设训一。

此放大电路还加了参考电压,引入了零点调节功能,这样可以更方便的调整由于不同传感器导致的零点变化问题。它利用滑动变阻器产生一个参考电压再利用电压跟随器把电压输入到运算放大电路的电压参考端。所以调节滑动变阻器,就可以直接改变放大电路的参考电压。而电压跟随器的作用就如上面介绍的,它只是用来匹配阻抗用的,防止R3和R4对滑动变阻器输出电压的影响。

电子电路设计与制作教学大纲

《电子电路设计与制作》教学大纲1.课程中文名称:电子电路设计与制作 2.课程代码: 3.课程类别:实践教学环节 4.课程性质:必修课 5.课程属性:独立设课 6.电子技术课程理论课总学时:256总学分:16 电子电路设计与制作学时:3周课程设计学分:3 7.适用专业:电子信息类各专业 8.先修课程:电路分析基础、模拟电子技术、数字电子技术、PCB电路设计一、课程设计简介 实验课、课程设计、毕业设计是大学阶段既相互联系又相互区别的三大实践性教学环节。实验课是着眼于实验验证课程的基本理论,培养学生的初步实验技能;毕业设计是针对本专业的要求所进行的全面的综合训练;而课程设计则是针对某几门课程构成的课程群的要求,对学生进行综合性训练,培养学生运用课程群中所学到的理论学以致用,独立地解决实际问题。电子电路设计与制作是电子信息类各专业必不可少的重要实践环节,它包括设计方案的选择、设计方案的论证、方案的电路原理图设计、印制板电路(即PCB)设计、元器件的选型、元器件在PCB板上的安装与焊接,电路的调试,撰写设计报告等实践内容。电子电路设计与制作的全过程是以学生自学为主,实践操作为主,教师的讲授、指导、讨论和研究相结合为辅的方式进行,着重就设计题目的要求对设计思路、设计方案的形成、电路调试和参数测量等展开讨论。 由指导教师下达设计任务书(学生自选题目需要通过指导教师和教研室共同审核批准),讲解示范的案例,指导学生各自对自己考虑到的多种可行的设计方案进行

比较,选择其中的最佳方案并进行论证,制作出满足设计要求的电子产品,撰写设计报告。需要注意是,设计方案的原理图须经Proteus软件仿真确信无误后,才能进行印刷电路图的制作,硬件电路的制作,以避免造成覆铜板、元器件等材料的浪费。电路系统经反复调试,完全达到(或超过)设计要求后,再完善设计报告。设计的整个过程在创新实验室或电子工艺实验室中完成。 二、电子电路设计与制作的教学目标与基本要求 教学目标: 1、通过课程设计巩固、深化和扩展学生的理论知识,提高综合运用知识的能力,逐步提升从事工程设计的能力。 2、注重培养学生正确的工程设计思想,掌握工程设计的思路、内容、步骤和方法。使学生能根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过设计、安装、焊接、调试等实践过程,使电子产品达到设计任务书中要求的性能指标的能力。 3、为后续的毕业设计打好基础。课程设计的着眼点是让学生开始从理论学习的轨道上逐渐转向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解工程设计的程序和实施方法;通过课程设计的训练,可以给毕业设计提供坚实的铺垫。 4、培养学生获取信息和综合处理信息的能力,文字和语言表达能力以及协调工作能力。课程设计报告的撰写,为今后从事技术工作撰写科技报告和技术文件打下基础。 5、提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 基本要求: 1、能够根据设计任务和指标要求,综合运用电路分析、电子技术课程中所学到的理论知识与实践操作技能独立完成一个设计课题的工程设计能力。 2、会根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。能独立思考、深入钻研课程设计中所遇到的问题,培养自己分析问韪、解决问题的能力。

电路原理图设计说明

电路原理图设计 原理图设计是电路设计的基础,只有在设计好原理图的基础上才可以进行印刷电路板的设计和电路仿真等。本章详细介绍了如何设计电路原理图、编辑修改原理图。通过本章 的学习,掌握原理图设计的过程和技巧。 3.1 电路原理图设计流程 原理图的设计流程如图3-1 所示 . 。 图3-1 原理图设计流程 原理图具体设计步骤: (1 )新建原理图文件。在进人SCH 设计系统之前,首先要构思好原理图,即必须知道所设计的项目需要哪些电路来完成,然后用Protel DXP 来画出电路原理图。

(2 )设置工作环境。根据实际电路的复杂程度来设置图纸的大小。在电路设计的整个过程中,图纸的大小都可以不断地调整,设置合适的图纸大小是完成原理图设计的第一步。 (3 )放置元件。从元件库中选取元件,布置到图纸的合适位置,并对元件的名称、封装进行定义和设定,根据元件之间的走线等联系对元件在工作平面上的位置进行调整和修改使得原理图美观而且易懂。 (4 )原理图的布线。根据实际电路的需要,利用SCH 提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一幅完整的电路原理图。 (5 )建立网络表。完成上面的步骤以后,可以看到一张完整的电路原理图了,但是要完成电路板的设计,就需要生成一个网络表文件。网络表是电路板和电路原理图之间的重要纽带。 (6 )原理图的电气检查。当完成原理图布线后,需要设置项目选项来编译当前项目,利用Protel DXP 提供的错误检查报告修改原理图。 (7 )编译和调整。如果原理图已通过电气检查,那么原理图的设计就完成了。这是对于一般电路设计而言,尤其是较大的项目,通常需要对电路的多次修改才能够通过电气检查。 (8 )存盘和报表输出:Protel DXP 提供了利用各种报表工具生成的报表(如网络表、元件清单等),同时可以对设计好的原理图和各种报表进行存盘和输出打印,为印刷板电路的设计做好准备。 3.2 原理图的设计方法和步骤 为了更直观地说明电路原理图的设计方法和步骤,下面就以图3 -2 所示的简单555 定时器电路图为例,介绍电路原理图的设计方法和步骤。

LM324及其常用应用电路,用法

LM324 lm124、lm224和lm324引脚功能及内部电路完全一致。324 系列运算放大器是价格便宜的带差动输入功能的四运算放大器。可工作在单电源下,电压范 围是3.0V-32V或+16V. LM324的特点: 1.短跑保护输出 2.真差动输入级 3.可单电源工作:3V-32V 4.低偏置电流:最大100nA(LM324A) 5.每封装含四个运算放大器。 6.具有内部补偿的功能。 7.共模范围扩展到负电源 8.行业标准的引脚排列 9.输入端具有静电保护功能 LM324引脚图(管脚图)

LM324应用电路图: 1.LM324电压参考电路图 2.LM324多路反馈带通滤波器电路图

3.LM324高阻抗差动放大器电路图

4.LM324函数发生器电路图 5.LM324双四级滤波器

6.LM324维思电桥振荡器电路图

7.LM324滞后比较器电路图 LM324引脚图资料与电路应用 LM324引脚图资料与电路应用 LM324资料: LM324为四运放集成电路,采用14脚双列直插塑料封装。,内部有四个运算放大器,有相位补偿电路。电路功耗很小,lm324工作电压范围宽,可用正电源3~30V,或正负双电源±1.5V~±15V工作。它的输入电压可低到地电位,而输出电压范围为O~Vcc。它的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运放相互单独。每一组运算放大器可用如图所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“Vo”为输出端。两个信号输入端中,Vi-(-)为反相输入端,表示运放输出端Vo的信号与该输入端的相位相反;Vi+(+)为同相输入端,表示运放输出端Vo的信号与该输入端的相位相同。 LM324引脚排列见图1。2。 lm124、lm224和lm324引脚功能及内部电路完全一致。lm124是军品;lm224为工业品;而lm324为民品。由于LM324四运放电路具有电源电压范围宽,静态功耗小,可单电源使用,价格低廉等特点, 因此他被非常广泛的应用在各种电路中。《lm324引脚图》

电子电路设计的基础知识

电子电路设计的基础知识 一、电子电路的设计基本步骤: 1、明确设计任务要求: 充分了解设计任务的具体要求如性能指标、内容及要求,明确设计任务。 2、方案选择: 根据掌握的知识和资料,针对设计提出的任务、要求和条件,设计合理、可靠、经济、可行的设计框架,对其优缺点进行分析,做到心中有数。 3、根据设计框架进行电路单元设计、参数计算和器件选择: 具体设计时可以模仿成熟的电路进行改进和创新,注意信号之间的关系和限制;接着根据电路工作原理和分析方法,进行参数的估计与计算;器件选择时,元器件的工作、电压、频率和功耗等参数应满足电路指标要求,元器件的极限参数必须留有足够的裕量,一般应大于额定值的1.5倍,电阻和电容的参数应选择计算值附近的标称值。 4、电路原理图的绘制: 电路原理图是组装、焊接、调试和检修的依据,绘制电路图时布局必须合理、排列均匀、清晰、便于看图、有利于读图;信号的流向一般从输入端或信号源画起,由左至右或由上至下按信号的流向依次画出务单元电路,反馈通路的信号流向则与此相反;图形符号和标准,并加适当的标注;连线应为直线,并且交叉和折弯应最少,互相连通的交叉处用圆点表示,地线用接地符号表示。 二、电子电路的组装 电路组装通常采用通用印刷电路板焊接和实验箱上插接两种方式,不管哪种方式,都要注意: 1.集成电路:

认清方向,找准第一脚,不要倒插,所有IC的插入方向一般应保持一致,管脚不能弯曲折断; 2.元器件的装插: 去除元件管脚上的氧化层,根据电路图确定器件的位置,并按信号的流向依次将元器件顺序连接; 3.导线的选用与连接: 导线直径应与过孔(或插孔)相当,过大过细均不好;为检查电路方便,要根据不同用途,选择不同颜色的导线,一般习惯是正电源用红线,负电源用蓝线,地线用黑线,信号线用其它颜色的线;连接用的导线要求紧贴板上,焊接或接触良好,连接线不允许跨越IC或其他器件,尽量做到横平竖直,便于查线和更换器件,但高频电路部分的连线应尽量短;电路之间要有公共地。 4.在电路的输入、输出端和其测试端应预留测试空间和接线柱,以方便测量调试; 5.布局合理和组装正确的电路,不仅电路整齐美观,而且能提高电路工作的可靠性,便于检查和排队故障。 三、电子电路调试 实验和调试常用的仪器有:万用表、稳压电源、示波器、信号发生器等。调试的主要步骤。 1.调试前不加电源的检查 对照电路图和实际线路检查连线是否正确,包括错接、少接、多接等;用万用表电阻档检查焊接和接插是否良好;元器件引脚之间有无短路,连接处有无接触不良,二极管、三极管、集成电路和电解电容的极性是否正确;电源供电包括极性、信号源连线是否正确;电源端对地是否存在短路(用万用表测量电阻)。 若电路经过上述检查,确认无误后,可转入静态检测与调试。 2.静态检测与调试 断开信号源,把经过准确测量的电源接入电路,用万用表电压档监测电源电压,观察有无异常现象:如冒烟、异常气味、手摸元器件发烫,电源短路等,如发现异常情况,立即切断电源,排除故障; 如无异常情况,分别测量各关键点直流电压,如静态工作点、数字电路各输入端和输出端的高、低电平值及逻辑关系、放大电路输入、输出端直流电压等是否在

《电子电路设计实践》内容

电子电路设计实践 编著:李怀亮 绥化学院 电气工程学院电子创新园区 2014年2月

前言电子电路设计 一、电子电路设计 1.应达到的基本要求 (1)综合运用电子技术课程中所学到理论知识去独立完成一个设计课题。 (2)通过查阅手册和文献资料,培养独立分析和解决实际问题的能力。 (3)进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则。 (4)学会电子电路的安装与调试技能。 (5)进一步熟悉电子仪器的正确使用方法。 (6)学会撰写课程设计总计报告、科技论文等。 (7)培养严肃认真的工作作风和严谨的科学态度。 2.电子电路设计大体分三个阶段 (1)设计与计算阶段。 (2)安装与调试阶段。 (3)撰写总结报告或论文阶段。 3.电子电路设计的方法与步骤 包括:总体方案的设计与选择、单元电路的选择与设计、单元电路间的连接方法、绘制总体电路草图、关键电路试验、最后绘制正式的总体电路图等设计环节。 二、电子电路设计入门 1.开展电子电路设计与制作,如何选题 (1)选题应新颖,实用性强。 (2)选题应包括硬件设计和软件设计的内容,且硬件内容应多于软件内容。 (3)选题应综合性强,能涵盖模拟电路、数字电路,而且一般都需要用单片机作为控制核心或处理信号,或者用PLD等新器件来实现。 (4)选题的难易程度应低于毕业设计的题目。 (5)如何想通过完成选题在全国电子竞赛拿上名次,选题应有创新点,有特色。特色就是人无我有,人有我新,而且选题比较复杂,很可能是机电结合型的。 2.开展电子电路设计与制作,如何入门 (1)介入电路设计与制作,宜早不宜迟 (2)兴趣是最好的老师 (3)勤于动手是最好的途径 例:运算放大器:按理论分析,只要比例电阻选定后,就可决定运放的放大倍数,计算结果十分明确。而事实并非如此,需要用理论计算和实验调整方式选取比例电阻,这样才能获得切合实际的放大倍数。 三、电子电路设计平台 1.硬件平台 电路、信号、电子技术基础:含低频电路、高频电路、信号分析与处理知识。 例:各种运算放大器、555定时器、各种计数器、译码驱动器、显示器、A/D 、

LM324应用电路

LM324应用电路——自制镍氢电池充电器 本文介绍的自制充电器用LM324的4个运算放大器作为比较器,用TL431设置电压基准,用S8550作为调整管,把输入电压降压,对电池进 行充电,其原理电路见图1。其特点是电路简单、工作可靠、无需调整、元器件容易购买等,下面分几个部分进行介绍。 1.基准电压Vref形成 外接电源经插座X、二极管VD1后由电容C1滤波。VD1起保护作用,防止外接电源极性反接时损坏TL431。R3、R4、R5和TL431组成基准电压Vr ef,根据图中参数Vref= 2.5×(100+820)/820=2.80(v),这个数据主要是针对镍氢充电电池而设计(单节镍氢充电电池充满后电压约 为1.40V)。 2.大电流充电 (1)工作原理 接入电源,电源指示灯LED(VD2)点亮。装入电池(参考图片,实际上是用

导线引出到电池盒,电池装在电池盒中),当电池电压低于Vref时,IC1-1输出低电平,VT1导通,输出大电流给电池充电。此时,VT1处于放大状态-这是因为电池电压和-VD4压降的和约为3.2V(假设开始充 电时电池电压约为2.5V),而经VD1后的电压大约5.OV,所以,VT1的发射极-集电极压差远大于0.2V,当充电电流为300mA时,VT1发热比较严重,所以最好用PT=625mW的S8550,或者适当增大基极电阻以减小充电电流(注:由于LM324低电平驱动能力较小,实测IC1-2,IC1-4输出低电平并不是0V,而是约为0.8V)。 (2)充电的指示 首先看IC1-3的工作情况:其同相端1O脚通过R13接Vref,R14接成正反馈,反相端9脚外接电容,并有一负反馈通路,所以,它实际上构成了滞回比较器。刚开始时C2上端没有电压,则IC1-3输出高电平。这个高电平有两个放电通路,一个通路是通过R14反馈到10脚,另一通路是经电阻R15对电容C2充电,当充电的电压高于10脚电压V+ 时,比较器翻转输出低电平;与此同时,由于R14的反馈作用,10脚电压立即下跳到V-,这时,电容C2通过电阻R15放电,当放电的电压小于10脚电压V-时,比较器再次翻转输出高电平,由于R14的反馈作用,10脚电压立即上跳到V+,此后电路一直重复上述过程,因此,IC1-3的输出为频率固定的方波信号。 其次看IC1-4的工作情况:电池电压经R2、R16分压,接IC1-4的12脚,因为R2<

电子设计大赛常用电路图

错误 !未定义书签。 图2 L293D 的电机驱动电路 图3 电源稳压电路 图4 降压电路

图3 降压斩波电路原理图 图4 电流检测模块

OS CI ICE_SDA ICE_SCK ICE_EN AV SS1OP I AGC M ICOUT DA C2DA C IOB12IOB11IOB15IOB13SLE EP IOB14VS S IOA12IOA14IOA11IOA10IOA15IOA13I O B 9I O B 10IOA9 I O B 5I O B 8I O B 7V C P I O A 8 V D D H I O A 6I O A 7V S S VS S V D D H VS S V R T A V S S 1 V D D _P I O B 2V C M I O A 3I O B 6I O B 1I O A 1V M I C I O B 0I O A 2M I C P R E S _B I O B 4 I O A 4 I O B 3I O A 0I O A 5VREF2V S S V D D H SPCE061A DA C1M ICN AV SS1VDD VS S VS S VS S OS CO +C29100u C31104 U1 OS C32O 12OS C32I 13XT EST 14VDD 15XICE 16XICECLK 17XICES DA 18VS S 19PV IN 20DA C121DA C222VREF223VS S 24AGC 25OP I 26M ICOUT 27M ICN 28PFUSE 29M I C P 33V C M 34V R T P A D 35V D D 36V M I C 37V S S 38I O A 041I O A 142I O A 243I O A 344I O A 445I O A 546I O A 647I O A 748V S S 49V S S 50V D D H 51V D D H 52I O A 8 53 N C 39N C 40NC 30NC 31NC 32 IOA9 54 IOA1055IOA1156IOA1257IOA1358IOA1459IOA1560XROM T 61VS S 62XS LEEP 63IOB1564IOB1465IOB1366IOB1267IOB1168PV PP 69V D D H 75 I O B 1076I O B 977NC 70NC 71NC 72NC 73NC 74I O B 878I O B 779I O B 680I O B 581I O B 41I O B 32I O B 23N C 82N C 83N C 84I O B 14I O B 05X R E S B 6V D D 7V C P 8V S S 9N C 10N C 11C8104C7104C18104 +C5 100u C28104 + C27100u +C17100u + C4100u V D D _A SPCE061A 芯片引脚电路图 电机驱动电路 图5 电源变换电路图

电子电路设计软件

电子电路设计软件 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他们的波音747到F16都是采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计)。 电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。 ①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE的微机版PSPICE(Personal-SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。 ②multiSIM(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。 ③MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和

电路原理图设计规范

xxxx交通技术有限公司——原理图设计规范 目录 一、概述...........................................错误!未定义书签。 二、原理图设计.....................................错误!未定义书签。 1、器件选型:..................................错误!未定义书签。(1)、功能适合性:.........................错误!未定义书签。(2)、开发延续性:.........................错误!未定义书签。(3)、焊接可靠性:.........................错误!未定义书签。(4)、布线方便性:.........................错误!未定义书签。(5)、器件通用性:.........................错误!未定义书签。(6)、采购便捷性:.........................错误!未定义书签。(7)、性价比的考虑.........................错误!未定义书签。 2、原理图封装设计:............................错误!未定义书签。(1)、管脚指定:...........................错误!未定义书签。(2)、管脚命名:...........................错误!未定义书签。(3)、封装设计:...........................错误!未定义书签。(4)、PCB封装:............................错误!未定义书签。(5)、器件属性:...........................错误!未定义书签。 3、原理设计:.................................错误!未定义书签。(1)、功能模块的划分:.....................错误!未定义书签。

硬件电路设计基础知识.docx

硬件电子电路基础关于本课程 § 4—2乙类功率放大电路 § 4—3丙类功率放大电路 § 4—4丙类谐振倍频电路 第五章正弦波振荡器 § 5—1反馈型正弦波振荡器的工作原理 § 5— 2 LC正弦波振荡电路 § 5— 3 LC振荡器的频率稳定度 § 5—4石英晶体振荡器 § 5— 5 RC正弦波振荡器

第一章半导体器件 §1半导体基础知识 §1PN 结 §-1二极管 §1晶体三极管 §1场效应管 §1半导体基础知识 、什么是半导体半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si锗Ge等+ 4价元素以及化合物) 、半导体的导电特性本征半导体一一纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略)

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂一一管子 *温度--- 热敏元件 ?光照——光敏元件等 2、半导体中的两种载流子一一自由电子和空穴 ?自由电子——受束缚的电子(一) ?空穴——电子跳走以后留下的坑(+ ) 三、杂质半导体——N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 *N型半导体(自由电子多) 掺杂为+ 5价元素。女口:磷;砷P—+ 5价使自由电子大大增加原理:Si—+ 4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子——数量少。 o掺杂后由P提供的自由电子——数量多。 o 空穴——少子 o 自由电子------ 多子 ?P型半导体(空穴多) 掺杂为+ 3价元素。女口:硼;铝使空穴大大增加 原理:Si—+ 4价B与Si形成共价键后多余了一个空穴。 B——+ 3价 载流子组成: o本征激发的空穴和自由电子数量少。 o掺杂后由B提供的空穴——数量多。 o 空穴——多子 o 自由电子——少子

2013电子电路设计与实践(A)

北京林业大学2012 --2013 学年第二学期考试试卷 课程名称:电子电路设计与实践(A)课程所在学院:理学院 考试班级学号姓名成绩 试卷说明: 1.本次考试为开卷考试。本试卷共计 4 页,共 4 大部分,请勿漏答; 2.考试时间为 60 分钟,请掌握好答题时间; 3.答题之前,请将试卷和答题纸上的考试班级、学号、姓名填写清楚; 4.本试卷全部分答案写在试卷上; 5.答题完毕,请将试卷和答题纸正面向外对叠交回,不得带出考场; 6.考试中心提示:请你遵守考场纪律,诚信考试、公平竞争! 一、判断(20分) 1.()平行线不能远距离传送高速脉冲的主要原因是因为双线间的分布参数(例分布电容)过小而造成的。 2.()对20Hz~ 10K Hz音频信号作A/D转换时,A/D转换芯片的转换时间(采样时间)至少应大于50μs。 3.()负反馈措施只能改善反馈环内电路和性能指标。 4.()常用的8051单片机也能对高频信号(例10MHz以上)进行处理。 5.()负反馈会使放大器的增益降低,但能提高放大器的上限截止频率?H和下限截止频率f L。 6.()只要工作点合适,晶体管放大器就不会使输出信号的波形产生失真。 7.()场效应管共源放大电路的性能与BJT共发射场放大器类似。 8.()51单片机的P0、P1、P2、P3作为通用I/O端口使用时,均需外接上拉电阻。 9.()场效应管的输入阻抗要比双极型晶体管的输入阻抗小。 10.()电感可以做存储元件。 二、选择(20分) 1.()在共e、共b、共c三种放大电路中,输入阻抗最高的放大器是: A、共e放大器 B、共b放大器 C、共e、共b放大器 D、共c放大器 2.()下列论述哪一项是错误的: A、RS-232是同步传输数据的 B、RS-232编码协议是传输距离短的主要原因 C、RS-422、RS-485的电路原理与RS-232基本相同 D、RS-232广泛用于计算机接口 3. 为了提高放大器的输入电阻,并稳定放大器的输出电压,应采用的反馈方式是:( ) A.电压串联负反馈 B.电压并联负反馈 C.电流串联负反馈 D.电流并联负反馈 4. ()无损电感上电压与电流的相位关系是: A、二者同相 B、二者反相 C、电压超前电流90° D、电压落后电流90° 5.已知下图,输入为周期4μs的对称方波,若要在输出端仍得到与输出近似的对称方波,则RC的乘积应()。

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

从EMC角度考虑常用电路设计及PCB设计

从EMC角度考虑常用电路设计及PCB设计 A.电源电路 电源电路设计中,功能性设计主要考虑温升和纹波大小。温升大小由结构 很关键:大电容一般采用低ESR电容,小电容采用0.1UF和1000pF共用。电源电路设计中,电磁兼容设计是关键设计。主要涉及的电磁兼容设计有:传导发射和浪涌。 传导发射设计一般采用输入滤波器方式。外部采购的滤波器内部电路一般采用下列电路: Cx1和Cx2为X电容,防止差模干扰。差模干扰大时,可增加其值进行抑制;Cy1和Cy2为Y电容,防止共模干扰。共模干扰大时,可增加其值进行抑制。需要注意的是,如自行设计滤波电路,Y电容不可设计在输入端,也不可双端都加Y电容。 浪涌设计一般采用压敏电阻。差模可根据电源输入耐压选取;共模需要电源输入耐压和产品耐压测试综合考虑。 当浪涌能量大时,也可考虑压敏电阻(或TVS)与放电管组合设计。

1 电源输入部分的EMC设计 应遵循①先防护后滤波;②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端;③在电源输入端滤波电路前和滤波电路中无采样电路和其它分叉电路;如果一定有采样电路,采样电路应额外增加了足够的滤波电路。 原因说明: ①先防护后滤波: 第一级防护器件应在滤波器件之前,防止滤波器件在浪涌、防雷测试中损坏,或导致滤波参数偏离,第二级保护器件可以放在滤波器件的后面;选择防护器件时,还应考虑个头不要太大,防止滤波器件在PCB布局时距离接口太远,起不到滤波效果。 ②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端:CLASSB要求比CLASS A要求小10dB,即小3倍,所以应有两级滤波电路; CLASSA规格要求至少一级滤波电路;所谓一级滤波电路指包含一级共模电感的滤波电路。

LM324的波形变换电路(DIY)

集成运放LM324的波形变换电路设计 一、设计目的 1、掌握LM324的应用 2、掌握三角波产生器、加法器、滤波器、比较器的设计 二、设计原理 1、原理:LM324内部包括有四个独立的、高增益、内部频率补偿的运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。 2、LM324的特点: 1、内部频率补偿 2、直流电压增益高(约100dB) 3、单位增益频带宽(约1MHz) 4、电源电压范围宽:单电源(3—32V)、双电源(±1.5—±16V) 5、低功耗电流,适合于电池供电 6、低输入偏流、低输入失调电压和失调电流 7、共模输入电压范围宽,包括接地 8、差模输入电压范围宽,等于电源电压范围 9、输出电压摆幅大(0至VCC-1.5V) 3、LM324引脚图 4、LM324内部电路图

三、实验设备与器件 1、基本元件清单 LM324芯片、导线若干、铁丝、14脚插槽、二极管(IN4700A) 电阻: 680、1K 、2K 、3K 、10K 、47K 、20K 、30K 、100K 、1M 电位器 :2K 、10K 、20K 、50K 电容:0.3uF 、0.001uF 、0.1uF 、10uF 电路板 1块 2、实验仪器 直流电源、双踪示波器、数字万用表、信号发生器。 四、设计要求 使用一片通用四运放芯片 LM324组成电路框图见图1(a),实 现下述功能: 使用低频信号源产生)V (2sin 1.001t f u i π=,z f H 5000=的正弦波信号,加至加法器的输入端,加法器的另一输入端加入由自制振荡器产生的信号1o u ,1o u 如图1(b)所示,ms T 5.01=,允许1T 有±5%的误差。

电气原理图及电子电路

电气原理图及接线图识读方法VS画图技巧2016-11-11 07:30 识图方法 电气图纸一般可分为两大类,一类为电力电气图,它主要是表 述电能的传输、分配和转换,如电网电气图、电厂电气控制图等。 另一类为电子电气图,它主要表述电子信息的传递、处理;如 电视机电气原理图。本文主要谈电力电气图的识读。 电力电气图分一次回路图、二次回路图。一次回路图表示一次电气 设备(主设备)连接顺序。一次电气设备主要包括发电机、变压器、 断路器、电动机、电抗器、电力电缆、电力母线、输电线等。 为对一次设备及其电路进行控制、测量、保护而设计安装的各类 电气设备,如测量仪表、控制开关、继电器、信号装置、自动装置 等称二次设备。表示二次设备之间连接顺序的电气图称二次回路 图。 一、电气图的种类 电气图主要有系统原理图、电路原理图、安装接线图。 1.系统原理图(方框图) 用较简单的符号或带有文字的方框,简单明了地表示电路系统的最 基本结构和组成,直观表述电路中最基本的构成单元和主要特征 及相互间关系。 2.电路原理图 电路原理图又分为集中式、展开式两种。集中式电路图中各元器件 等均以整体形式集中画出,说明元件的结构原理和工作原理。识读 时需清楚了解图中继电器相关线圈、触点属于什么回路,在什么情 况下动作,动作后各相关部分触点发生什么样变化。 展开式电路图在表明各元件、继电器动作原理、动作顺序方面, 较集中式电路图有其独特的优点。展开式电路图按元件的线圈、触 点划分为各自独立的交流电流、交流电压、直流信号等回路.凡属 于同一元件或继电器的电流、电压线圈及触点采用相同的文字。展

开式电路图中对每个独立回路,交流按U、V、W相序;直流按继电器动作顺序依次排列。识读展开式电路图时,对照每一回路右侧的文字说明,先交流后直流,由上而下,由左至右逐行识读。集中式、展开式电路图互相补充、互相对照来识读更易理解。 3.安装接线图 安装接线图是以电路原理为依据绘制而成,是现场维修中不可缺少的重要资料。安装图中各元件图形、位置及相互间连接关系与元件的实际形状、实际安装位置及实际连接关系相一致。图中连接关系采用相对标号法来表示。 二、识读电气图须知 1.学习掌握一定的电子、电工技术基本知识,了解各类电气设备的性能、工作原理,并清楚有关触点动作前后状态的变化关系。 2.对常用常见的典型电路,如过流、欠压、过负荷、控制、信号电路的工作原理和动作顺序有一定的了解。 3.熟悉国家统一规定的电力设备的图形符号、文字符号、数字符号、回路编号规定通则及相关的国标。了解常见常用的外围电气图形符号、文字符号、数字符号、回路编号及国际电工委员会(IEC)规定的通用符号和物理量符号(相关资料附后)。 4.了解绘制二次回路图的基本方法。电气图中一次回路用粗实线,二次回路用细实线画出。一次回路画在图纸左侧,二次回路画在图纸右侧。由上而下先画交流回路,再画直流回路。同一电器中不同部分(如线圈、触点)不画在一起时用同一文字符号标注。对接在不同回路中的相同电器,在相同文字符号后面标注数字来区别。 5.电路中开关、触点位置均在"平常状态"绘制。所谓"平常状态"是指开关、继电器线圈在没有电流通过及无任何外力作用时触点的状态。通常说的动合、动断触点都指开关电器在线圈无电、无外力作用时它们是断开或闭合的,一旦通电或有外力作用时触点状态随之改变。 三、识读电气图方法 1.仔细阅读设备说明书、操作手册,了解设备动作方式、顺序,有关设备元件在电路中的作用。

电子线路设计心得体会

电子线路设计心得体会 篇一:电子电路实训心得体会 电子课程设计心得体会 通过一周的电子设计,我学会了如何将书本上学到的知识应用与实践,学会了一些基本 的电子电路的设计、仿真与焊接,虽然在这个过程中我遇到了很多麻烦,但是在解决这些问 题的过程中我也提高了自身的专业素质,这次设计不仅增强了自己在专业方面的信心,鼓舞 了自己,更是一次兴趣的培养。这次电子实习,我所选的课题是“倒计时光控跑马灯”,当拿到选题时,我认为这个不是 很难。但当认真的考虑时,我才发现一切并非我想的那么简单。无论一个多么简单的课题。 他所牵涉的知识比较多的,比如我这个选题不仅仅包括许多模电器件和数电器件,它还包含 许多以前我没有接触或熟知的器件。所以我在设计时也在不断的学习,了解每一个器件的结 构、工作原理及其运用。经过与搭档的多次交流,我们才确定了最后的电路方案,然后在多 次的电路仿真之中,我们又进行了更加完善的修改,以

达到万无一失。第三天的任务主要是焊接自己设计的电路板。开始,我们都充满了好奇,毕竟这是第一 次走进实验室去焊接电路板。不过才过了一天,所有的好奇心都烟消云散,换而的是苦与累。 我这时才知道焊电路板确实是一件苦差事。焊电路板要人非常的细心,并且要有一定的耐心。 因为焊接示若稍不注意就会使电路短路或者焊错。经过一两天的坚苦奋斗,终于焊完的。但 当我们去测试时却无法出现预期的结果。然后我没办法只得去慢慢检查,但也查不出个所以 然来。我想实际的电路可能与仿真的电路会产生差错,毕竟仿真的是在虚拟的界面完成的。所以在接下来的几天我都在慢慢调试和修改中度过,想想那几天过的真的好累,在一次 次的失败中修正却还是得不到正确的结果。好几次都想放弃,但最后还是坚持下来。经过多 次调试,最后还是得到正确的结果,那一刻,我感觉如释重负,感觉很有成就感。一个星期 的电子实习已经过去,但是使我对电子设计有了更的了解,使我学了很多,具体如下:1. 基 本掌握手工电烙铁的焊接技能够独立的完成简单电子产品的安装与焊接。熟悉电子产品装工

相关文档
最新文档