频率计实验报告_2010011014

频率计实验报告_2010011014
频率计实验报告_2010011014

数字逻辑与处理器基础

实验三频率计

实验报告

实验目的:

掌握频率计的原理和设计方法。

实验工具:

1 Verilog语言

2 Quartus II 9.0

3 DE2实验板

实验设计:

1 总体思路以及模块总述

设计的基本思路是按照实验指导书上给出的原理图划分模块。

①待测信号产生模块signalinput.v:由指导书给出,用于产生待测信号sigin;

②1Hz时钟产生模块clk_1hz.v:通过实验板50MHz产生1Hz时钟clk_1hz;

③控制信号产生模块control.v:通过1Hz时钟,产生频率计所需的使能信号en,同

步清零信号reset,锁存信号la,生成一个3秒钟的频率计数周期;

④十分频模块div_10.v:若量程选择信号sw_2为高电平,则待测信号需进行十分频;

⑤计数器模块counter.v:频率计的核心部分,包括四位十进制计数器,以及对应七段

译码器的译码器。这里我把实验指导书中的译码模块整合在了里面,原因是认为在这个设计中单做一个译码模块意义不大,整合在里面翻倒方便一些;

⑥信号锁存模块lat.v:当锁存信号la为高电平时,锁存输出持续显示;

⑦顶层模块div.v:进行各分立模块的功能综合;

2模块分述

(1)待测信号产生模块

代码:

module signalinput(testmode,sysclk,sigin);

input [1:0] testmode;//00,01,10,11分别代表4种频率,分别为3125,6250,50,12500Hz,使用SW1~SW0来控制

input sysclk;//系统时钟50M

output sigin;//输出待测信号

reg[20:0] state;

reg[20:0] divide;

reg sigin;

initial

begin

sigin=0;

state=21'b000000000000000000000;

divide=21'b000000_1111_1010_0000000;

end

always@(testmode)

begin

case(testmode[1:0])

2'b00:divide=21'd16000; //3125Hz

2'b01:divide=21'd8000; //6250Hz

2'b10:divide=21'd1000000; //50Hz

2'b11:divide=21'd4000; //12500Hz

endcase

end

always@(posedge sysclk)//按divide分频

begin

if(state==0)

sigin=~sigin;

state=state+21'b0_00__0000_0000_0000_0000_10;

if(state==divide)

state=27'b000_0000_0000_0000_0000_0000_0000;

end

endmodule

可以看出大致由两部分构成:频率选择、分频。通过testmode的值先进行选择所需的频率,然后进行分频。

(2)1Hz时钟产生模块

代码:

module clk_1hz(sysclk,clk);

input sysclk;

output clk;

reg clk;

reg [31:0] counter;

initial

begin

counter<=32'd0*******;

clk<=0;

end

always@(posedge sysclk)

begin

if(counter==32'd2*******)

begin

clk<=~clk;

counter<=32'd0*******;

end

else counter<=counter+32'd1;

end

endmodule

把实验板上的50MHz时钟进行50M倍分频,得到1Hz时钟。

(3)控制信号产生模块

代码:

module control(clk_1hz,en,reset,la);

input clk_1hz;

output en,reset,la;

reg [2:0] state=3'b000;

reg en;

reg reset;

reg la;

parameter s0=3'b000,s1=3'b001,s2=3'b010;

always@(posedge clk_1hz)

begin

case(state)

s0:begin

en<=1;

reset<=0;

la<=0;

state<=s1;

end

s1:begin

en<=0;

reset<=0;

la<=1;

state<=s2;

end

s2:begin

la<=1;

reset<=1;

en<=0;

state<=s0;

end

endcase

end

endmodule

通过1Hz时钟产生3个控制信号,用了一个简单的状态转移,在3秒周期内,第一秒使能信号en为高电平进行计数,第二三秒锁存信号为高电平,第三秒清零。为了验证正确性,单独进行了仿真,波形图如下图

可见功能正确。

(4)十分频模块

代码:

module div_10(sigin,sw_2,sigout,led);

input sigin,sw_2;

output sigout,led;

reg sigout;

reg [3:0] counter;

always@(posedge sigin) begin

if(sw_2) begin

if(counter==4'd4) begin

sigout<=~sigout;

counter<=4'd0;

end

else counter<=counter+4'd1;

end

end

assign led=sw_2?1:0;

endmodule

当sw_2信号为高电平时,对信号进行10分频,并使led信号为1。

(5)计数器模块

代码:

module counter(sigin,en,reset,clr,hex0,hex1,hex2,hex3);

input sigin,en,reset,clr;

reg [3:0] q0;

reg [3:0] q1;

reg [3:0] q2;

reg [3:0] q3;

output [6:0] hex0;

output [6:0] hex1;

output [6:0] hex2;

output [6:0] hex3;

always@(posedge sigin or posedge reset or negedge clr) begin if(reset||(!clr)) begin

q0<=4'd0;

q1<=4'd0;

q2<=4'd0;

q3<=4'd0;

end

else if(en) begin

if(q0==4'd9) begin

if(q1==4'd9) begin

if(q2==4'd9) begin

q3<=q3+4'd1;

q2<=4'd0; end

else q2<=q2+4'd1;

q1<=4'd0; end

else q1<=q1+4'd1;

q0<=4'd0; end

else q0<=q0+4'd1; end

else begin {q0,q1,q2,q3}<={q0,q1,q2,q3};end end

assign hex0=(q0==4'd0)?7'b1000000:

(q0==4'd1)?7'b1111001:

(q0==4'd2)?7'b0100100:

(q0==4'd3)?7'b0110000:

(q0==4'd4)?7'b0011001:

(q0==4'd5)?7'b0010010:

(q0==4'd6)?7'b0000010:

(q0==4'd7)?7'b1111000:

(q0==4'd8)?7'b0000000:

(q0==4'd9)?7'b0010000:7'b0;

assign hex1=(q1==4'd0)?7'b1000000:

(q1==4'd1)?7'b1111001:

(q1==4'd2)?7'b0100100:

(q1==4'd3)?7'b0110000:

(q1==4'd4)?7'b0011001:

(q1==4'd5)?7'b0010010:

(q1==4'd6)?7'b0000010:

(q1==4'd7)?7'b1111000:

(q1==4'd8)?7'b0000000:

(q1==4'd9)?7'b0010000:7'b0;

assign hex2=(q2==4'd0)?7'b1000000:

(q2==4'd1)?7'b1111001:

(q2==4'd2)?7'b0100100:

(q2==4'd3)?7'b0110000:

(q2==4'd4)?7'b0011001:

(q2==4'd5)?7'b0010010:

(q2==4'd6)?7'b0000010:

(q2==4'd7)?7'b1111000:

(q2==4'd8)?7'b0000000:

(q2==4'd9)?7'b0010000:7'b0;

assign hex3=(q3==4'd0)?7'b1000000:

(q3==4'd1)?7'b1111001:

(q3==4'd2)?7'b0100100:

(q3==4'd3)?7'b0110000:

(q3==4'd4)?7'b0011001:

(q3==4'd5)?7'b0010010:

(q3==4'd6)?7'b0000010:

(q3==4'd7)?7'b1111000:

(q3==4'd8)?7'b0000000:

(q3==4'd9)?7'b0010000:7'b0;

endmodule

包含一个四位十进制计数部分,和译码器电路,同时包含同步清零reset和异步清零clr。

(6)信号锁存模块

代码:module lat(la,h0,h1,h2,h3,hex0,hex1,hex2,hex3);

input la;

input [6:0] h0;

input [6:0] h1;

input [6:0] h2;

input [6:0] h3;

output [6:0] hex0;

output [6:0] hex1;

output [6:0] hex2;

output [6:0] hex3;

reg [6:0]hex0;

reg [6:0]hex1;

reg [6:0]hex2;

reg [6:0]hex3;

always@(la) begin

if(la) begin

hex0<=hex0;

hex1<=hex1;

hex2<=hex2;

hex3<=hex3;

end

else begin

hex0<=h0;

hex1<=h1;

hex2<=h2;

hex3<=h3;

end

end

endmodule

锁存信号la低电平时输出透明,高电平时输出锁存。

(7)顶层模块

代码:

module div(clock_50,clr,sw_0,sw_1,sw_2,led,hex0,hex1,hex2,hex3,led_1hz);

input clock_50,clr,sw_0,sw_1,sw_2;

output led,led_1hz;

output [6:0] hex0;

output [6:0] hex1;

output [6:0] hex2;

output [6:0] hex3;

wire [1:0] a;

wire sigin,sigout,clk_1hz,en,reset,la;

wire [6:0] h0;

wire [6:0] h1;

wire [6:0] h2;

wire [6:0] h3;

wire x;

assign a = {sw_1,sw_0};

assign led_1hz=la;

signalinput sig_top(.testmode(a),.sysclk(clock_50),.sigin(sigin));

div_10 div_top(.sigin(sigin),.sw_2(sw_2),.sigout(x),.led(led));

clk_1hz clk_top(.sysclk(clock_50),.clk(clk_1hz));

assign sigout=sw_2?x:sigin;

control

control_top(.clk_1hz(clk_1hz),.en(en),.reset(reset),.la(la));

counter

counter_top(.sigin(sigout),.en(en),.reset(reset),.clr(clr),.hex0(h0),.hex1( h1),.hex2(h2),.hex3(h3));

lat

lat_top(.la(la),.h0(h0),.h1(h1),.h2(h2),.h3(h3),.hex0(hex0),.hex1(hex1),.he x2(hex2),.hex3(hex3));

endmodule

把所有模块进行串联,中间根据sw_2选择是否进行十分频。

顶层模块的RTL级电路图如下:

问题及解决办法

1 十分频判断问题

待测信号是否需要十分频依据sw_2信号的判断,我开始把判断语句写在了十分频always语句的里面,如:

always@(posegdge sigin) begin

if(!sw_2) sigout<=sigin;

else 十分频...

end

这样导致输出信号无变化,后来发现原因是sigout每次被sigin赋值时,均在sigin 上升沿,所以无变化。解决办法是在十分频模块中增加一个wire信号进行判断,或者把判断放在顶层模块中,我选择后者。

2 十进制问题

十进制数是4位二进制代表1位十进制,我开始把十进制数写成了1’d1,使十进制数只有1位被表示出来,故十进制变成了二进制。

3reg声明问题

声明寄存器时需要写上位数如:reg [6:0] hex1;没有带位数时,只给hex1赋了最低位,导致七段译码器前两位为无输入状态“88”。并且此时变异不报错,只能从警告中查出,经老师指出改正后正常。

心得收获

本次实验涉及了之前实验中的内容并且进行了综合,我在开始设计时并没有仔细分析信号的变化过程,导致出现了很多低级错误,如问题1,在以后的设计中需要更加稳健。

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

四位数字频率计实验报告

数字逻辑电路大型实验报告 姓名 指导教师 专业班级 学院信息工程学院 提交日期

一、实验目的 学习用FPGA实现数字系统的方法 二、实验内容 1.FPGA, Quartus II 和VHDL使用练习 2.四位数字频率计的设计 三、四位数字频率计的设计 1.工作原理 当系统正常工作时,8Hz信号测频控制信号发生器进行信号的变换,产生计数信号,被测信号通过信号整形电路产生同频率的矩形波,送入计数模块,计数模块对输入的矩形波进行计数,将计数结果送入锁存器中,保证系统可以稳定显示数据,显示译码驱动电路将二进制表示的计数结果转换成相应的能够在七段数码显示管上可以显示的十进制结果。在数码显示管上可以看到计数结果。工作原理图如下: 2.设计方案

1) 整形电路:整形电路是将待测信号整形变成计数器所要求的脉冲信号 2)控制信号产生器(分频电路):用8Hz时钟信号产生1Hz时钟信号、锁存器信号和cs信号 3)计时器:采用级联的方式表示4位数 4)锁存器:计数结束后的结果在锁存信号控制下锁存 5)译码器:将锁存的计数结果转换为七段显示码 3.顶层原理图(总图)

注:①CLK1:8Hz时钟信号输入; CLKIN:待测信号输入; ②显像时自左而右分别是个位、十位、百位、千位; ③顶层原理图中: (1)consignal模块:为频率计的控制器,产生满足时序要求的三个控制信号; (2)cnt10模块:有四个,组成四位十进制(0000-1001)计数器,使计数器可以从0计数到9999; (3)lock模块:有四个,锁存计数结果; (4)decoder模块:有四个,将8421BCD码的锁存结果转换为七段显示码。 4.底层4个模块(控制信号产生模块,十进制计数器模块,锁存器模块,译码模块)的仿真结果。 cnt10模块(十进制计数器模块): 输入:CLK:待测量的频率信号(时钟信号模拟); CLR:清零信号,当clr=1时计数器清零,输出始终为0000,只有当clr=0时,计数器才正常计数 CS:闸门信号,当cs=1时接收clk计数,当cs=0时,不接收clk,输出为0; 输出: co:进位信号,图中,在1001(9)的上方产生一个进位信号0,其余为1。 qq:计数器的四位二进制编码输出,以十进制输出。

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

频率计实验报告

一.设计方案 1. 整体思路:求待测信号的频率,实际上是在1s内对脉冲进行计数。故先对1MHz分频产生1s的闸门信号,由此产生计数使能信号,计数清零信号(有锁存要求的也要产生锁存信号要求);六位十进制计数器在计数使能和计数清零信号的控制下计数。若有显示频率值固定的要求,则由锁存信号控制寄存器锁存稳定的频率值。 2. 模块划分:采用“自顶向下,逐步细化”的设计思想,顶层文件定义总输入输出端口及各模块的输入输出端口,并进行端口匹配。底层分为三个模块:分频模块,计数模块和锁存模块,分别完成各自功能。 (1)分频模块:输入为1MHz基准时钟信号,输出为计数使能信号,计数清零信号(和锁存信号) 共有四个线程, p1: process (clk01) 用于将1MHz时钟基准信号500000分频产生2Hz时钟信号(具体实现方式是设置计数变量,每计到49999时2Hz时钟信号clk2产生一个脉冲); p2: process ( clk2 ) 用于将2Hz时钟信号clk2 2分频,产生1s的闸门信号(实现方法是clk2每出现一个脉冲,clk1的值反转一次。这样两次分频产生的闸门信号是方波,而将1MHz 直接500000分频得到的输出信号占空比不是50%); p3: process ( clk1 ) 用于将闸门信号clk1再次2分频从而产生计数使能信号en(和锁存信号lock); p4: process ( clk1,clk0_5 ) 用于产生计数清零信号clr(计数使能信号无效的后0.5s计数器清零)。 (2)计数模块:输入为待测脉冲频率,计数使能和计数清零信号,输出为27位(前7位对应十万位7段译码管g~a,后20位对应万位~各位的8421码输出)。采用异步清零。 计数器的原理入下: a.若个位小于9,则个位加1,其余位不变; b.若个位为9,十位小于9,则十位加1,个位归0,其余位不变; c.若个位,十位均为9,百位小于9,则百位加1,十位,个位归0,其余位不变; d.若个,十,百位均为9,千位小于9,则千位加1,百位,十位,个位归0,其余位不变; e.若个,十,百,千位均为9,万位小于9,则万位加1,千位,百位,十位,个位归0,其余位不变; f.若个,十,百,千,万位均为9,十万位小于9,则十万位加1,万位,千位,百位,十位,个位归0,其余位不变; g.若这6位均为9,则各位均归0(此时待测频率超出0~999999Hz量程,频率计不能正确显示)。 计数器的数值一定是上述情况中的一种,因此一定会进入某个if条件中执行相应语句。 *(3)锁存模块 有锁存要求时,由锁存信号控制在第2s初(上升沿)锁存计数值,因此当输入待测信号稳定时,输出总是某个恒定值。没有锁存要求时,不需锁存信号控制,锁存模块的输出就是本模块的输入。 二.问题及解决方案 1.顶层设计时,最初为了简便将各模块对应端口直接MAP起来,而没有设置中间变量;后来怀疑这种写法是否规范,和小组成员商量后,组长的建议书是决定还是在端口间设置

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

实验六-数字频率计的Verilog-HDL语言实现

五邑大学实验报告 实验课程名称 ) 数字频率计的Verilog HDL语言实现 院系名称:信息工程学院 专业名称:通信工程(物联网工程) 实验项目名称:EDA实验 班级: 110711 学号: 。 报告人:冯剑波

实验六 数字频率计的Verilog HDL 语言实现 一、实验目的: 1、掌握较复杂数字电路或系统的纯Verilog HDL 实现方法; 2、体会纯Verilog HDL 语言输入设计与原理图输入设计的差别。 二、实验原理: 【 数字频率计是用来测量输入信号的频率并显示测量结果的系统。一般基准时钟的高电平的持续时间为s T 10 ,若在这0T 内被测信号的周期数为N 则被测信号的频率就是N ,选择不同的0T ,可以得到不同的测量精度。一般0T 越大,测量精度越高,但一次的测量时间及频率计所需的硬件资源也增加。 三、设计任务与要求: 1、设计一个6位频率计,测量范围从1Hz 到99 99 99Hz ,测量结果用6个数码管显示,基准时钟频率为1Hz ; 2、只显示测量结果,中间计数过程不显示;结果更新时间2秒一次; 3、频率计只设一个复位键,按下该键(reset=0)系统复位,释放该键(reset=1)系统工作,测量并显示结果。 4、显示用静态方式; 5、用Verilog HDL 实现上述要求的频率计。 四、设计源程序及注释与仿真结果 设计源程序: module pinlvji(oHEX0,oHEX1,oHEX2,oHEX3,oHEX4,oHEX5,clk_50M,clk_1Hz,reset,signal_out); @ input clk_50M,reset; //50MHz 时钟输入、复位 output[6:0] oHEX0,oHEX1,oHEX2,oHEX3,oHEX4,oHEX5; //数码管0-5,分别显示个、十、百、千、万、十万位的数字 output reg clk_1Hz; output reg signal_out; reg signal_in; reg[29:0] cnt; reg[29:0] cnt1; reg count_en; //计数允许,count_en=1时计数,下降沿到来时锁存 reg load; reg[3:0] ge,shi,bai,qian,wan,shiwan; reg cout1,cout2,cout3,cout4,cout5; reg[3:0] q0,q1,q2,q3,q4,q5; wire clr; always @(posedge clk_50M) //改变Hz 的范围,自己设定的频率1Hz-999999Hz begin

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

实验五数字频率计设计

实验项目名称:数字频率计设计 姓名:雷锋一号学号:123456789 班级:通信121 实验时间:星期四晚上 姓名:雷锋二号学号:123456789 班级:通信121 实验地点: 407 一、实验目的 1. 掌握单片机片内定时器的使用方法。 2. 掌握基于单片机片内定时器的数字频率计设计方法。 二、实验内容 基于单片机片内定时器的数字频率计设计。要求: (1)测频率范围:10Hz ~ 10K Hz。为保证测量精度分为三个频段: 10Hz ~ 100 Hz 100Hz ~ 1K Hz 1 K Hz ~ 10K Hz 当信号频率超过规定的频段上限时,设有超量程指示。三个频段之间用手动切换。 (2)输入波形:低频函数信号发生器输出的矩形波,幅度为3V 。 (3)测量误差:σ≤±1%。 (4)显示和响应时间: 测量结果用三位半导体数码管显示,要求显示数码稳定清晰。三个频段的最大显示数分别为99.9 Hz,999. Hz,9.99 K Hz,为此需要控制小数点位置,并用两个发光二极管分别显示频率单位:Hz 或K Hz,详见表1。 三、实验说明 通过本实验,掌握单片机片内定时器的使用方法,了解数字频率计的测量原理及测量电路设计方法。掌握基于单片机的数字频率计工作原理与设计方法。 必须用模块化方法进行C语言程序设计。

四、实验仪器和设备 PC机、Keil uVision2软件,C8051F020单片机,EC3在线仿真器。 五、实验原理 频率测量的方法常用的有测频法和测周法两种。 (1)测频法 测频法的基本思想是让计数器在闸门信号的控制下计数1秒时间,计数结果是1秒内被测信号的周期数,即被测信号的频率。若被测信号不是矩形脉冲,则应先变换成同频率的矩形脉冲。测频法的原理框图如图6所示。 图中,秒脉冲作为闸门信号,当其为高电平时,计数器计数;低电平时,计数器停止计数。显然,在同样的闸门信号作用下,被测信号的频率越高,测量误差越小。当被测频率一定时,闸门信号高电平的时间越长,测量误差越小。但是闸门信号周期越长,测量的响应时间也越长。 例如,闸门信号高电平时间为1秒,被测信号频率的真值为2Hz,如图2-2-2所示。由 图6 频率测量原理框图 图可知,无论被测信号的频率是多少,测量时可能产生的最大绝对误差均为±1Hz,即 f测-f真=±1Hz 所以,最大相对误差为 σmax=(f测-f真)/ f真=±1/ f真 由上式可知,在闸门信号相同时,测频法的相对误差与被测信号的频率成反比。因此测频法适合于测量频率较高的信号。 f真=2 图7 测频法的误差 (2)测周法 当被测信号频率较低时,为保证测量精度,常采用测周法。即先测出被测信号的周期,再换算成频率。测周法的实质是把被测信号作为闸门信号,在它的高电平的时间内,用一个标准频率的信号源作为计数器的时钟脉冲。若计数结果为N,标准信号频率为f1,则被测信号的周期为 T = T1·N 被测信号的频率为 f = 1/T1·N = f1/N 利用测周法所产生的最大绝对误差,显然也等于±1个标准信号周期。如果被测信号周期的真值为T真= T1·N,则T测= T1·(N±1) σmax=(f测-f真)/ f真= T真/T测– 1=±1/(N±1)

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

数字频率计实验报告

大连理工大学城市学院数字电路与系统课程设计设计题目:数字频率计 学院:电子与自动化学院 专业:自动化 学生:揣智涵 同组人:王晓宁周英茹 指导教师:于海霞 完成日期: 2012年3月26日

目录 第一章设计任务 1.1项目名称 1.2项目设计说明 1.2.1设计任务和要求 1.2.2进度安排 1.3项目总体功能模块图 第二章需求分析 2.1问题基本描述 (要求分析得出整个系统流程图) 2.2系统模块分解及各模块功能的基本要求第三章设计原理 3.1 设计原理 3.2 MAXPLUSII介绍 第四章系统功能模块设计 4.1 FEN模块 4.1.1 FEN模块流程图 4.1.2 输入输出引脚及其功能说明 4.1.3 程序代码实现 4.2 SEL模块 4.2.1 SEL模块流程图 4.2.2输入输出引脚及其功能说明 4.2.3程序代码实现

4.3 CORNA模块 4.3.1 CORNA模块流程图 4.3.2 输入输出引脚及其功能说明 4.3.3 程序代码实现 4.4 LOCK模块 4.4.1 LOCK模块流程图 4.4.2 输入输出引脚及其功能说明 4.4.3 程序代码实现 4.5 CH模块 4.5.1 输入输出引脚及其功能说明 4.5.2 程序代码实现 4.6 DISP模块 4.6.1 输入输出引脚及其功能说明 4.6.2 程序代码实现 第五章调试并分析结果 5.1输入说明 5.2预计输出 5.3测试结果记录 5.4测试结果分析 第六章结论 心得体会 参考文献

第一章设计任务 1.1 项目名称:数字频率计 1.2 项目设计说明 1.2.1 设计任务和要求 此频率计共分4档: 一档:0~9999Hz; 二档:10~99.99kHZ; 三档:100.0~999.9kHz;, 四档:1.000~999MHz; 在换挡的设计方面,此程序突破了以往改变闸门时间的方法,使自动换挡的实现更加简单可靠。 1.2.2 进度安排 第一节课:画出模块及程序流程图 第二节课:调试各模块程序使其无误 第三节课:连接整个程序并下载到试验箱是数字频率计的功能实现 第四节课:改进程序设计实现创新,然后完成课程设计报告 第五节课:完成答辩 1.3 项目总体功能模块图如下

数字频率计设计报告

数字频率计设计报告 学院: 姓名: 学号: 专业: 指导老师: 2008-11-11

一.内容介绍 数字频率计是用来测量信号频率的装置。它可以测量正弦波、方波、三角波和尖脉冲信号的频率。在进行模拟、数字电路的设计、安装、调试过程中,经常要用到频率计。 由于其用十进制数显示,测量速度、精度高、显示直观,因此频率计得到广泛的应用。 二.设计内容、技术指标及框图 设计内容: 设计只用一只数码管显示结果的数字频率计。 技术指标: 1.被测量信号频率范围:1KHZ-999KHZ 2.测量精度:测量显示3位有效数字 3.时基时间宽度:1ms 4.测试和显示方法: (1)只用一只数码管显示结果。 (2)每2秒钟自动测试一次,按百、十、个、全灭的顺序逐位显示测试结果,每位的显示时间为0.5秒。 数字频率计的框图:如图1。 图1 频率计系统框图

三.单元电路设计 1. 时基产生电路 时基信号的产生电路可用石英晶体振荡器经分频后得到高稳定度的时基信号。图2采用CC4060十四级计数器构成0.5s脉冲(3)和毫秒脉冲1ms时基信号。12脚接地。 图2 秒脉冲和毫秒脉冲时基产生电路 2.节拍信号发生器 设计要求每2秒自动测试一次,按百、十、个、灭的顺序逐位显示测试结果。由此可知,节拍信号发生器需产生四种状态的变化,变化周期为2秒。四种状态信号可以提供给数据选择器的地址端,用来逐位显示百、十、个、灭,2秒的周期信号用来控制计数器计数,保持和清零。如图3。 节拍信号发生器

图3 节拍信号发生器及波形 3.整形电路 将输入的被测信号送入施密特触发器74LS132的输入端,其输入将得到矩形波至闸门输入如图4。 图4 整形电路 4.控制电路(门控电路) 要求控制器每2秒向主闸门输入一个时间为2秒,采样脉宽为1ms的周期信号,如图5。 采用2个D触发器,以时基信号T=1ms作为同步时钟脉冲。

实验报告_频率计

数字逻辑与处理器基础实验 频率计 实验报告 姓名: 学号:2012011250 班级: 组号:S2

目录 实验八:频率计 (3) 一、实验目的 (3) 二、实验内容 (3) (一) 设计方案 (3) 1. 待测信号产生模块 (3) 2. 分频模块 (4) 3. 计数器模块 (4) 4. 16位锁存器模块 (5) 5. 控制信号产生模块 (6) 6. 译码模块 (7) 7. 扫描模块 (8) (二) 仿真结果 (9) (三) 实验硬件调试 (10) 1. 面积与速度的关系清单 (10) 2. 综合电路图 (12) 3. 实验调试结果 (12) 三、实验总结 (13)

实验八:频率计 一、实验目的 掌握频率计的原理和设计方法 二、实验内容 (一)设计方案 频率计用于对一个未知频率的周期信号进行频率测量,在1s内对信号周期进行计数,即为此周期信号的频率。 频率计内部实现框图如下所示,其内部包括频率量程处理模块(10 分频)、时钟频率产生模块、控制信号产生模块、十进制计数器模块、锁存器模块、译码显示模块等。 下面对几个模块分别作出阐释。 1.待测信号产生模块 系统时钟信号为100MHz,利用不同的分频比将系统时钟分成四组不同的待测信号:3125Hz,6250Hz,50Hz,12500Hz。将以上四组信号作频率计的输入。 具体代码如下,代码文件为siginput.v

2.分频模块 产生三个不同的分频比,其中两个将系统时钟分为1Hz信号和1KHz信号,分别作为计数时钟和扫描时钟;另一个分频比为10,用于高量程下的测量。 具体代码如下,代码文件为divider.v 3.计数器模块 这是一个四位十进制的计数器,当待测信号的上升沿来临,在使能信号enable有效,复位信号reset无效时开始计数。 具体代码如下,代码文件为counter.v

数字频率计设计报告

电子线路课程设计报告 姓名:方正 学号:110405221 专业:电气工程及其自动化 日期:2012-10-13

目录 1 概述. (3) 2 方案论证????????? 2.1 方案一 (4) 2.2 方案二 (4) 3.3 方案选择. (5) 3 数字频率计设计原理 (5) 4、单元电路分析. (6) 1、放大整形电路【2】 (6) 2、时基电路【1】 (7) 3、逻辑控制【1】 (7) 4、锁存器 (8) 六、调试电路板中出现的问题及解决办法. (10) 七、课程设计体会. (11) 八、集成芯片功能介绍. (12) 1、74LS573 (12) 2、74LS48 (12) 3、74LS90 (13) 4、555构成的单稳触发器和多谐振荡器. (14) 参考文献. (14) 附一:电路总图. ..................................... 错误!未定义书签。 附二:电路PCB图. ................................ 错误!未定义书签。 附三:PCB-3D图................................. 错误!未定义书签。 附四:元件清单. ..................................... 错误!未定义书签。

一、概述 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其他各种单位时间内变化的物理量,因此它的用途十分广泛:数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。 数字频率计的设计原理实际上是测量单位时间内的周期数。这种方法免去了实测以前的预测,同时节省了划分频段的时间,克服了原来高频段采用测频模式而低频段采用测周期模式的测量方法存在换挡速度慢的缺点。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1 秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种, 其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。 集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2 大类。数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域。

频率计实验报告

频率计实验报告 信息工程 实验任务及要求: 1.设计一个可测量的数字式频率计,测量范围为1Hz-12MHz。 2.用层次化的设计方法设计该电路,编写各个功能模块的程序。 3.仿真各功能模块,通过观察有关波形确认电路设计是否正确 4.完成电路设计后,通过在实验系统上下载,验证设计的正确性 实验原理分析: 根据总的设计图可知:8位十进制数字频率计的设计有一个测频控制信号发生器TESTCTL,8个有时钟使能的十进制数字计数器CNT10,一个32位锁存器REG32B组成。 测频控制信号发生器的设计原理和要求:频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求TESTCTL的计数使能信号TSTEN能产生1秒脉宽的周期信号,并对频率计的每一个计数器CNT10的ENA使能端进行不同控制。当TSTEN高电平时允许计数,低电平时停止计数,并保持所计的数。在停止计数的期间,首先需要一个锁存信号Load的上跳沿将计数器在前一秒的计数值锁存进32位锁存器REG32B中,且由外部的七段译码器译出并稳定显示。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,必须有一个清零信号CLR_CNT对计数器进行清零,为下一秒钟的计数操作做准备,测频控制信号发生器的工作时序为周期2秒,占空比为0.5的方波,为了产生的方波,需首先建立一个由D触发器构成的二分频器,在每秒时钟CLK 上升沿到来时使其翻转,其中控制信号时钟CLK的频率为1Hz,那么信号TSTEN的脉宽恰好为1秒,可以用作闸门信号,然后根据测频的时序要求,可得出信号Load和CLR_CNT 的逻辑描述,在一个计数完成后,即计数使能信号TSTEN在1秒的高电平后,利用其反向值的上升沿产生一个锁存信号Load,0.5秒后,CLR_CNT产生一个清零信号跳沿。 各个模块的源程序如下所示: TESTCTL

简易数字频率计设计实验报告

电子线路课程设计报告 姓名: 学号: 专业:电子信息 日期:2014.4.13 南京理工大学紫金学院电光系 2014-4-13

引言 《电子线路课程设计》是一门理论和实践相结合的课程。它融入了现代电子设计的新思想和新方法,架起一座利用单元模块实现电子系统的桥梁,帮助学生进一步提高电子设计能力。对于推动信息电子类学科面向21世纪课程体系和课程内容改革,引导、培养大学生创新意识、协作精神和理论联系实际的学风,加强学生工程实践能力的训练和培养,促进广大学生踊跃参加课外科技活动和提高毕业生的就业率都会起到了良好作用。 该课程主要内容: (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用:包括放大器、滤波器、比较器、光电耦合器、单稳、逻辑控制、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 (5)电子线路课程设计课题: 设计并制作一个基于模电和数电的简易数字频率计。

目录 第一章设计要求................................................. 1.1 基本要求........................................... 1.2 提高部分........................................... 1.3 设计报告........................................... 第二章整体方案设计............................................. 2.1 算法设计........................................... 2.2 整体方框图及原理................................... 第三章单元电路设计............................................. 3.1 模电部分设计....................................... 3.1.1 放大电路........................................ 3.1.2 滤波电路........................................ 3.1.3 比较电路........................................ 3.1.4 模电总体电路.................................... 3.2 数电部分设计....................................... 3.2.1 时基电路........................................ 3.2.2 单稳态电路...................................... 3.2.3 计数、译码、显示电路............................ 3.2.4 数电总体电路.................................... 第四章测试与调整............................................... 4.1 时基电路的调测..................................... 4.2 计数电路的调测..................................... 4.3 显示电路的调测..................................... 第五章设计小结................................................. 5.1 设计任务完成情况................................... 5.2心得体会...........................................

相关文档
最新文档