14-15电子设计自动化B卷

14-15电子设计自动化B卷
14-15电子设计自动化B卷

_____________________________________________________________________________________

池州学院 2014— 2015学年度第一学期 “ 电子设计自动化”课程 考试试卷 B 卷

一、单项选择题:本大题共10小题,每小题2分,共20分。在每题给出的四个选项中,只有一项是符合题目要求的,把答案填在横线上。 1.IP 核在EDA 技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP 核为__________。 A .软IP B.固IP C.硬IP D.都不是 2. 综合是EDA 设计流程的关键步骤,在下面对综合的描述中,_________是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;

B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件;

C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;

D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。 3.大规模可编程器件主要有FPGA 、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是____。 A.FPGA 是基于乘积项结构的可编程逻辑器件; B.FPGA 是全称为复杂可编程逻辑器件; C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置; D.在Altera 公司生产的器件中,MAX7000系列属FPGA 结构。

/班

级:

姓名

线

4.进程中的变量赋值语句,其变量更新是_________。

A.立即完成;

B.按顺序完成;

C.在进程的最后完成;

D.都不对。

5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。

A.器件外部特性;

B.器件的综合约束;

C.器件外部特性与内部功能;

D.器件的内部功能。

6.不完整的IF语句,其综合结果可实现________。

A. 时序逻辑电路

B. 组合逻辑电路

C. 双向电路

D. 三态控制电路

7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_________。

①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法

A. ①③⑤

B. ②③④

C. ②⑤⑥

D. ①④⑥

8.下列标识符中,__________是不合法的标识符。

A. State0

B. 9moon

C. Not_Ack_0

D. signall

9.关于VHDL中的数字,请找出以下数字中最大的一个:__________。

A. 2#1111_1110#

B. 8#276#

C. 10#170#

D. 16#E#E1

10.下列EDA软件中,哪一个不具有逻辑综合功能:________。

A.Max+Plus II

B.ModelSim

C.Quartus II

D.Synplify

二、填空题:本大题共10个空格,每个空Array格1分,共10分。

1.一般把EDA技术的发展分为、、三个阶段。

2.VHDL的数据对象包括、、,它们是用来存放各种类型数据的容器。

3.在PC上利用VHDL进行项目设计,不允许在下进行,必须在根目录为设计建立

一个工程目录(即文件夹)。

_____________________________________________________________________________________

_____________________________________________________________________________________

4.EDA 设计输入主要包括 、 、 。

三、名词解释:本大题共5个小题,每小题2分,共10分。

写出下列缩写的英文含义:

1.SOPC

2.CPLD

https://www.360docs.net/doc/593936136.html,B

4.CLB

5.CONSTANT

四、简答题:本大题共3小题,共15分。

1.EDA 中构成一个完整的VHDL 语言程序的五个基本结构?(5分)

2. EDA 设计流程包括哪几个步骤(5分)

3.EDA 设计验证包括哪些内容?(5分)

五、阅读下列VHDL程序,画出相应原理图。(10

分)

Library ieee;

Use ieee.std_logic_1164.all;

Entity mycir is

Port ( A, B, clk : in std_logic;

Qout : out std_logic);

End mycir;

Architecture behave of mycir is

Signal ta, tb, tc;

Begin

tc <= ta nand tb;

Process (clk)

Begin

If clk’event and clk = ‘1’ then

Ta <= A;

Tb <= B;

End if;

End process;

Process (clk, tc)

Begin

If clk = ‘1’ then

Qout <= c;

End if;

End process;

End behave;

_____________________________________________________________________________________

_____________________________________________________________________________________

六、设计题:本大题共3小题,共35分。 1、设计1位十进制计数器的VHDL 描述。(10分) 2、3-8译码器的电路的VHDL 程序设计。(10分)

/班

级:

姓名:

线

3、设计8位分频器VHDL程序设计。(15分)

_____________________________________________________________________________________

电子设计大赛电源类历年试题

全国电子设计大赛电源类历年题目 第一届(1994年)全国大学生电子设计竞赛题目 题目一简易数控直流电源 一、设计任务 设计出有一定输出电压范围和功能的数控电源。其原理示意图如下: 二、设计要求 1.基本要求 (1)输出电压:范围0~+9.9V,步进0.1V,纹波不大于10mV; (2)输出电流:500mA; (3)输出电压值由数码管显示; (4)由“+”、“-”两键分别控制输出电压步进增减; (5)为实现上述几部件工作,自制一稳压直流电源,输出±15V,+5V。

2.发挥部分 (1)输出电压可预置在0~9.9V之间的任意一个值; (2)用自动扫描代替人工按键,实现输出电压变化(步进0.1V不变);(3)扩展输出电压种类(比如三角波等)。 三、评分意见 项目得分 基本要求方案设计与论证、理论计算与分析、电路 图 30 实际完成情况50 总结报告20 发挥部分完成第一项 5 完成第二项15 完成第三项20 第三届(1997年)全国大学生电子设计竞赛题目

A题直流稳定电源 一、任务 设计并制作交流变换为直流的稳定电源。 二、要求 1.基本要求 (1)稳压电源在输入电压220V、50Hz、电压变化范围+15%~-20%条件下: a.输出电压可调范围为+9V~+12V b.最大输出电流为1.5A c.电压调整率≤0.2%(输入电压220V变化范围+15%~-20%下,空载到满载) d.负载调整率≤1%(最低输入电压下,满载) e.纹波电压(峰-峰值)≤5mV(最低输入电压下,满载) f.效率≥40%(输出电压9V、输入电压220V下,满载) g.具有过流及短路保护功能 (2)稳流电源在输入电压固定为+12V的条件下: a.输出电流:4~20mA可调 b.负载调整率≤1%(输入电压+12V、负载电阻由200Ω~300Ω变化时,

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

西电射频大作业(精心整理)

射频大作业 基于PSpice仿真的振幅调制电路设计数字调制与解调的集成器件学习

目录 题目一:基于PSpice仿真的振幅调制电路设计与性能分析 一、实验设计要求 (3) 二、理论分析 1、问题的分析 (3) 2、差动放大器调幅的设计理论 (4) 2.1、单端输出差动放大器电路 2.2、双端输出差动放大器电路 2.3、单二极管振幅调制电路 2.4、平衡对消二极管调幅电路 三、PSpice仿真的振幅调制电路性能分析 (10) 1、单端输出差动放大器调幅电路设计图及仿真波形 2、双端输出差动放大器调幅电路设计图及仿真波形 3、单二极管振幅调制电路设计图及仿真波形 4、平衡对消二极管调幅电路设计图及仿真波形 四、实验总结 (16) 五、参考文献 题目二数字调制与解调的集成器件学习 一、实验设计要求 (17) 二、概述 (17) 三、引脚功能及组成原理 (18) 四、基本连接电路 (20) 五、参考文献 (21) 六、英文附录 (21)

题目一基于PSpice仿真的振幅调制电路设计 摘要 随着大规模集成电路的广泛发展,电子电路CAD及电子设计自动化(EDA)已成为电路分析和设计中不可缺少的工具。此次振幅调制电路仿真设计基于PSpice,利用其丰富的仿真元器件库和强大的行为建模工具,分别设计了差分对放大器和二极管振幅调制电路,由此对线性时变电路调幅有了更进一步的认识;同时,通过平衡对消技术分别衍生出双端输出的差分对放大器和双回路二极管振幅调制电路,消除了没用的频率分量,从而得到了更好的调幅效果。本文对比研究了单端输出和双端输出的差分对放大器调幅电路及单二极管和双回路二极管调幅电路,通过对比观察时域和频域波形图,可知平衡对消技术可以很好地减小失真。 关键词:PSpice 振幅调制差分对放大器二极管振幅调制电路平衡对消技术 一、实验设计要求 1.1 基本要求 参考教材《射频电路基础》第五章振幅调制与解调中有关差分对放大器调幅和二极管调幅的原理,选择元器件、调制信号和载波参数,完成PSpice电路设计、建模和仿真,实现振幅调制信号的输出和分析。 1.2 实践任务 (1) 选择合适的调制信号和载波的振幅、频率,通过理论计算分析,正确选择晶体管和其它元件;搭建单端输出的差分对放大器,实现载波作为差模输入电压,调制信号控制电流源情况下的振幅调制;调整二者振幅,实现基本无失真的线性时变电路调幅;观察记录电路参数、调制信号、载波和已调波的波形和频谱。 (2) 参考例5.3.1,修改电路为双端输出,对比研究平衡对消技术在该电路中的应用效果。 (3) 选择合适的调制信号和载波的振幅、频率,通过理论计算分析,正确选择二极管和其它元件;搭建单二极管振幅调制电路,实现载波作为大信号,调制信号为小信号情况下的振幅调制;调整二者振幅,实现基本无失真的线性时变电路调幅;观察记录电路参数、调制信号、载波和已调波的波形和频谱。 (4) 参考例5.3.2,修改电路为双回路,对比研究平衡对消技术在该电路中的应用效果。 1.3 写作报告 (1) 按论文形式撰写,包括摘要、正文和参考文献,等等。 (2) 正文包括振幅调制电路的设计原理、理论分析结果、实践任务中各阶段设计的电路、参数、波形和频谱,对观察记录的数据配以图像和表格,同时要有充分的文字做分析和对比,有规律性认识。 (3) 论文结构系统、完备、条理清晰、理论正确、数据翔实、分析完整。 1.4 相关提示 (1) 所有电路和信号参数需要各人自行决定,各人有不同的研究结果,锻炼学生的独立研究和实验分析能力。 (2) 为了提高仿真精度和减小调试难度,可以将调制信号和载波的频率设置得较低。 二、理论分析 1、问题的分析 根据题目的要求,差分对放大器和二极管振幅调制电路目的都是实现基本无

(完整版)智能电子电路设计与制作期末试卷A

淮安信息职业技术学院2012-2013学年度第2学期 《智能电子电路设计与制作》期末试卷A 一、填空题(每空0.5分)共15分 1、MEGA16单片机I/O 端口的方向寄存器作用是(对端口输入输出选择)。 2、MEGA16单片机I/O 端口的输入寄存器作用是( 判断端口电平高低 )。 3、MEGA16单片机I/O 端口的数据寄存器作用是(对端口写入“1”或“0” )。 4、ATmega16单片机是( 8 )位单片机。 5、MCUCR 寄存器是( 控制寄存器 ),用于设置 INTO 和INT1的中断( 触发)方式。 6、GICR 寄存器是( 中断控制寄存器 ),用于设置外部中断的中断(允许 )位。 7、全局中断使能位是(状态)寄存器中的 第( 七 )位 即( BIT/7 )位。 8、TCNT0是定时器( T/C0)的(数据 )寄存器,作用是( 对计数器进行读写 )。 9、T/C0的计数时钟源可以来自( 内部 )和( 外部 )两种。 10、T/C0工作在普通模式时,( 计数初值 )由TCNTO 设置,最大值为( OXFFFF )。 11、使用MEGA16单片机的AD 相关寄存器有( AD 多工选择寄存器 )、( ADC 控制和状态寄存器A )、( ADC 数据寄存器)、( 特殊功能IO 寄存器 )。 12、MEGA16单片机TWI 相关寄存器有( TWI 比特率寄存器 )、( TWI 控制寄存器 )、( TWI 状态寄存器 )、( TWI 数据寄存器 )。 13、MEGA16单片机与SPI 相关的寄存器有( SPI 控制寄存器 )、( SPI 状态寄存器 )。 14、24C08是具有( I 2c )总线协议的非易失性存储器。 15、USART 模块的管脚发送数据管脚名称为( TXD )。 二、选择题(每题3分,共45分) 1. MCUCR 寄存器中的中断触发模式位是?(D ) A 、ICS00\ICS01 B 、ICS10\ICS11 C 、SM2 D 、A 和B 2. ATmega16的GICR 寄存器中外部中断0的中断使能位是(B ) A 、INT1 B 、INT0 C 、INT2 D 、INT3 3.多位数码管显示器通常采用(B )法显示 系部: 班级: 学号: 姓名:

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

(完整版)毕设-简易音乐喷泉设计

电子系统设计 大 作 业 课题:简易音乐喷泉的制作 组员: 任课老师:

目录 一、设计任务和分析 -------------------------------------------------------- 1 二、硬件电路设计 ---------------------------------------------------------- 1 2.1总体设计------------------------------------------------------------ 1 2.2各模块设计---------------------------------------------------------- 2 2.2.1单片机最小系统------------------------------------------------ 2 2.2.2 A/D转换模块------------------------------------------------- 3 2.2.3 音频放大模块-------------------------------------------------- 3 2.2.4 LED灯及电机------------------------------------------------- 4 三、程序设计-------------------------------------------------------------- 5 3.1主程序设计---------------------------------------------------------- 5 3.1.1设计框图------------------------------------------------------ 5 3.1.2程序代码------------------------------------------------------ 5 3.2 A/D转换程序设计--------------------------------------------------- 6 3.2.1 A/D转换程序原理--------------------------------------------- 6 3.2.2 A/D转换程序框图--------------------------------------------- 7 3.2.3 A/D转换子程序代码------------------------------------------- 8 3.3 PWM调压设计------------------------------------------------------- 9 3.3.1 程序框图 ---------------------------------------------------- 9 3.3.2 PWM调压子程序----------------------------------------------- 9 四、调试和测试结果分析 --------------------------------------------------- 11 4.1调试--------------------------------------------------------------- 11 4.2 结果分析 ---------------------------------------------------------- 12

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

网站设计与制作试卷和答案

第一部分应知考试题库 2.1.2网页制作基础 1.填空题 (1)网页一般分为()网页和()网页。 (2)HTML是()的缩写,意思为()。(3)网页主要由()、()、()、超链接等基本元素构成。(4)常用的制作网页的专门工具有()和()。 (5)如果属性面板被隐藏了,可以通过执行()菜单下的“属性”命令来打开。2.选择题 (1)下面文件属于静态网页的是______。 (2)属于网页制作工具的是______。 A.photoshop B.flash C.dreamweaver D.cuteFTP (3)用于调整编辑窗口中被选中元素的属性的面板是_____ A.插入面板 B.属性面板 C.设计面板 D.文件面板 (4)在网页中经常用的两种图像格式是_______。 A.bmp和jpg B.gif和bmp C.png和bmp D.gif和jpg (5)下列说法正确的是_____。 A.动态网页使用应用程序解释器但不使用后台数据库 B.动态网页不使用应用程序解释器但使用后台数据库 C.动态网页不使用应用程序解释器也不使用后台数据库 D.动态网页使用应用程序解释器也使用后台数据库 2.1.3网站的创建与管理 1.选择题 (1)如果正在编辑的文件没有存盘,系统在文件名上加上____符号提示用户。 A.! B. C.# D.* (2)在“资源面板”中没有列出的资源是______。 A.文本 B.图像 C.颜色 D.脚本 (3)保存网页文档的快捷键是__________。 A.Ctrl+A B.Ctrl+S C.Ctrl+W D.Ctrl+N (4)下列哪一种视图不属于“文件面板”中视图列表中的视图类型 A.本地视图 B.地图视图 C.远程视图 D.大纲视图 (5)定义站点时,存放网页的默认文件夹为__________。 A.C盘根目录 B.D盘根目录 C.我的文档 D.没有默认文件夹,必须由用户指定 2.1.4页面的整体控制 1.选择题 (1)打开页面属性对话框,使用_______功能键。 A.Ctrl+K B.Ctrl+J C.Ctrl+M D. Ctrl + F (2)下面的颜色中,____表示黄色。 A.#FFFF00 B.#FFOOFF C.#00FFFF D.#00FF00 (3)当网页既设置了背景图像又设置了背景色,那么_____。 A.以背景图像为主 B.以背景色为主

电子设计自动化(EDA)期末必考题目汇总

电子设计自动化期末必考题目汇总 1、EDA的英文拼写:electronic design automation 2、Eda的设计方法:两种一种为由底向上的设计方法即传统的设计方法,一种是自顶向下的设计方法即现代设计方法。 3、自顶向下分为三个阶段:系统设计、系统的综合优化、系统的实现 4、系统的设计流程主要包括:系统的功能分析、系统的结构设计、系统的描述、系统的功能仿真。 5、适配器:结构综合器,功能是将由综合器产生的网表文件配置与指定的目标器件中,使之产生最终的下载文件中。 6、FPGA芯片对应的是:sof文件,CPLD芯片对应的是pof文件 7、FPLD:现场可编程逻辑器件,FPGA:现场可编程门阵列,CPLD:复杂现场可编程逻辑阵列 8、可编程逻辑器件分为:FPGA和CPLD其中CPLD断电后不丢失。 9、基于乘积项的PLD分为三部分:宏单元、PIA、I/O控制板10、quartus软件的波形文件名为.vwf 11、VHDL语言的特点: (1)、VHDL是工业标准的文本格式语言。 (2)、具有强大的描述能力。 (3)、VNDL能同时支持方针和综合。 (4)、VHDL语言是并发执行的语句 (5)、VHDL支持结构化设计和top-down设计方法(6)、VHDL的描述与工艺无关 12、STD-logic型数据能够在数字器件中实现的只有四种即“-”、“0”、“1”、“z”但这并不表明其他5种值不存在,这9种值对于VHDL的行为仿真都有重要意义。 13、用户自定义的数据类型:枚举类型、整数类型、数组类型(所有的值必须一样)、记录类型(值得类型可以不一样)、事件类型、实数类型。 14、数据类型转换分为两种:类型转换函数方式、直接类型转15、换方式常量说明语句所允许的设计单元有:实体,结构体、程序包、块、进程、子程序 16信号与变量的区别: (1)、赋值语句的不同,信号赋值用“《=”而变量为“:=” (2)、通常变量的值可以给信号赋值但信号的值却不能给变量赋值

eda 电子设计自动化

一、如图1所示,电路为二级电压串联负反馈的放大电路,其中Vs为V AC/SOURCE,其属性设置为默认值。三极管Q2N3904的模型参数为默认值。试用EWB软件作如下的分析:(1)求直流工作点;(2)求无负反馈(即无电阻Rf)时的输入电阻、输出电阻、电压增益和上限截止频率;(3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度,并总结反馈深度对放大电路性能的影响。(25分) Re1 300Rb4 20k Rs 200 Rf 6.2k Vcc 12Vdc Ce2 10u Ce1 10u R5 5k Q2 Q2N3904 Cb2 2.2u Rb3 300k Vout Q1 Q2N3904 Vs 1Vac 0Vdc Cb1 2.2u Vin Re2 680 Rb1 300k Cb3 2.2u Re3 820 Rc1 5.1k R2b 20k Rc2 5.1k 解:(1)直流工作点 由图知:I CQ1=124.345uA I CQ2=140.332uA 计算知:U CEQ1=V CC-I CQ1(R C1+R E1+R E2)=11.244V

U CEQ2=V CC-I CQ2(R C2+R E3)=11.189V (2)无负反馈时的输入电阻、输出电阻、电压增益和上限截止频率 a、输入电阻 由图知:Ui=9.865mA Ii=673.469nA 计算得:Ri=Ui/Ii=14.6kΩ b、输出电阻 由下页图知:Uo=11.665pV Io=2.334fA 计算得:Ro=Uo/Io=5kΩ

C、电压增益 d、上限截止频率 查书得:f=1/(2×3.14×Rs×C)=3.6MhzMhz Rs=200Ω C=2.2uF (3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度

《网页设计与制作》试卷及答案

浙江传媒学院《网页设计与制作》期终(考试)卷 学院 班 姓名 学号 总分 题号 一 二 三 四 五 六 题分 一、单选题(每小题1分,共30分) 1、 设置字体大小时,选择"无",代表( )。 号字 号字 号字 号字 2、 在HTML 源代码中,图像的属性用( )标记来定义。 3、 在页面属性对话框中,我们不能设( )。 A.网页的标题 B.背景图像的透明度 C.背景图像 D.超链接文本的颜 色 4、 图像属性面板中的热区按钮不包括( )。 A.方形热区 B.圆形热区 C.三角形热区 D.不规则形热区 5、 用于同一个网页内容之间相互跳转的超链接是( )。 A.图像链接 B.空链接 C.电子邮件链接 D.锚点链接 6、 将超链接的目标网页在最顶端的浏览器窗口中打开的方式是( )。 A. _parent B. _top D. _self 7、 在Flash 中,绘制直线时,按住( )键可以绘制水平线。 A. Alt B. Ctrl 8、 在Flash 中,如果要选取铅笔工具,应在绘图工具箱中单击( )工具。 A . B . C . D . 9、 要在时间轴上插入空白关键帧,应当使用的快捷键是( )。 A. F5 B. F 9 C . F8 D. F7 10、 下面对矢量图和象素图描述不正确的是:( )。 A .位图(点阵)的基本组成单元是象素 B .点阵图的基本组成单元是锚点和路径 C .Adobe Illustrator 图形软件能够生成矢量图 D .Adobe photoshop 能够生成位图 11、 对于在网络上播放动画来说,最合适的帧频率是: A.每秒24帧 B.每秒25帧 C.每秒12帧 D.每秒16帧 12、 Dreamweaver 可以导入的表格式数据的格式是( )。 A. 文本格式 格式 格式 D.任意格式 13、 如果想让页面具有相同的页面布局,那么最好使用哪种技术( )。 A.库 B.模板 C.库或模板均可 D.每个页面单独设计 14、 Dreamweaver 中,库项目可以包含( )元素。 装 订 区

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

机电一体化系统设计大作业

6011机电一体化系统设计基础大作业 责任教师高秋红 学校:北京一轻高级技术学校学号:111100140 姓名: 一、基础知识题(每题10分,共40分) 1.机电一体化系统的定义?机电一体化产品的分类? 答:机电一体化系统是由机械技术、传感器技术、接口技术、信号变换技术等多种技术进行有机地结合,并综合应用到实际中去的综合技术。 按发展水平分:功能附加型初级系统,功能代替型中级系统,机电融合型高级系统 按应用分:民用机电一体化产品,办公机电一体化产品和产业机电一体化产品 2. 机电一体化系统的基本组成要素?试分析试说明图中的各个部分分别属于机电一体化系统的哪一基本结构要素。 答:按照机电一体化系统的基本结构要素,图示数控机床的各个部分归类如下:(1)控制及信息处理单元:键盘、计算机、显示 (2)测试传感部分:光电编码器、信号处理 (3)能源:电源 (4)驱动部分:功放、电机 (5)执行机构:联轴器、齿轮减速器、丝杠螺母机构、工作台

3. 为什么采用机电一体化技术可以提高系统的精度? 机电一体化技术使机械传动部分减少,因而使机械磨损,配合间隙及受力变形等所引起的误差大大减少,同时由于采用电子技术实现自动检测,控制,补偿和校正因各种干扰因素造成的误差,从而提高精度。 4.简述机电一体化产品设计的工程路线(主要步骤) 机电一体化产品设计的工程路线(主要步骤):拟定产品开发目标和技术规范;收集资料,市场分析,可行性分析和技术经济性分析;总体方案设计;总体方案的评审和评价;详细设计;详细设计方案的评审和评价;试制样机;样机实验,测试;技术评价与审定;小批量生产;试销;正常生产;销售。 二、综合分析设计题(60分) 1.已知电机驱动的三自由度位置控制系统,单个自由度的驱动系统如图所示。要求: (1)说明单自由度驱动系统的两种测量方案;(20分) 要求给出传感器的类型及具体安装位置。 (2)确定整个系统的控制方案、画出控制系统原理图。(40分) 要求写出两种控制方案,方案一使用工业PC机完成系统的控制和方案二使用单片机完成系统的控制。 解:依题意有两种测量方案 1)高速端测量方案: 传感器安装在电机的尾部,通过测量电机的转角实现工作台位移的间接测量。可选用光电编码器式传感器或者磁电式编码器。

电力电子装置大作业

电力电子文献综述 姓名:范毅光 班级:14电气2班 学号:1405130221

电力有源滤波器 电力电子装置自身所具有的非线性导致了电网中含有大量谐波,这些谐波给电力系统带来了严重的污染,严重危害了用电设备和通信系统的稳定运行。虽然传统的无源电力滤波器具有结构简单、成本低、技术成熟、运行费用低等优点,但同时也有一些缺点,例如只能抑制固定的几次谐波,并对某次谐波在一定条件下会与电网阻抗产生谐振反而而使谐波放大。目前,谐波抑制的一个重要趋势是采用有源电力滤波器,有源电力滤波器也是一种电力电子装置,且相关技术的研究也日渐成为研究的热点。本文阐述了几种常见APF的拓扑结构及各自的优缺点,详细分析了基于瞬时无功功率理论的谐波检测方法,比例控制和前馈控制两种电流环控制策略以及SPWM和SVPWM两种调制策略。介绍了电力有源滤波器的基本原理和结构,并设计了并联型有源电力滤波器的控制系统,实验结果表明,其谐波抑制和无功补偿可以达到良好的效果,在技术上是可行的。 随着现代科技的发展,一方面,危害电能质量的因素不断增加,例如,以电力电子装置为代表的非线性负荷的使用、各种大型用电设备不断普及,如高性能办公设备、精密实验仪器、计算机、通信及数据处理系统、精密生产过程的自动控制设备等。上述问题的矛盾越来越突出,这使得电能质量问题对电网和配电系统造成直接危害和可能对人类生活造成的损失也越来越大,因此电能质量的好坏直接关系到国民经济的总体效益。 一.谐波对电力系统主要危害: 1.谐波增加了公共电网的附加输电损耗,降低了发电、输电设备的利用率。 2.在电缆输电的情况下,谐波以正比于其电压幅值的形式增加了介质的电场强度,缩短了电缆的使用寿命,还增加了事故概率和修理费用。 3.谐波会影响甚至严重影响用电设备的正常工作。 4.谐波还引起某些继电器、接触器的误动作。 5.谐波使得常规电气仪表测量不准确。 6.谐波对周围环境产生电磁干扰,影响通信、电话等设备的正常工作。 7.谐波容易使电网产生局部的并联或串联谐振,而谐振导致的谐波放大效应又进一步恶化和加剧了所有前述问题。 国家标准GB/T14549—1993对电能质量公用电网谐波作出了限定,因此减小谐波影响是电力工程必须考虑的重要问题。 二.抑制谐波的方法: 无源滤波器,又称LC滤波器,是利用电感、电容和电阻的组合设计构成的滤波电路,可滤除某一次或多次谐波,最易于采用的无源滤波器结构是将电感与电容串联,可对主要次谐波构成低阻抗旁路;单调谐滤波器、双调谐滤波器、高通滤波器都属于无源滤波器。无源滤波器具有结构简单、成本低廉、运行可靠性较高、运行费用较低等优点。 目前,谐波抑制的一个重要趋势是采用电力有源滤波器APF。有源电力滤波器也是一种电力电子装置。其基本原理是从补偿对象中检测出谐波电流,由补偿装置产生与该

电子设计与制作试卷(A)

班级: 姓名: 考号: 学号 ………………………………密………………………封………………………………线…………………………… 电信学院09级应用电子技术专业专科《电子设计与制作》试卷(A ) 说明:本试卷共四页、四道大题,答卷一律在试卷规定处填写,答在其它处不得分。 一、填空题(本题共3小题,每空2分,共10分。) 1、CAD (Computer Aided Design )是 的简称。 2、原理图中能够表示元件引脚之间电气连接关系的有 、 和 。 3、在双面板和多层板中,可以使用 实现不同导电层之间的电气互联。 二、判断题(本题共10小题,每小题2分,共20分。) 1、网络标号、总线和总线分支线都具有电气特性。 ( ) 2、原理图中具有相同网络标号的导线,都被看作同一条导线。 ( ) 3、一个元件只有一种电气图形符号,但可以有不同的封装形式。 ( ) 4、封装形式DIP20能够进行旋转、对称操作。 ( ) 5、对同一电路来说,原理图中与印制板中元件的连接关系应完全相同。 ( ) 6、色环电阻的表示方法是:每一色环代表一位有效数字。 ( ) 7、变压器有变换电压和变换阻抗的作用。 ( ) 8、二极管和三极管在电路上的作用相同。 ( ) 9、电感的单位是用大写字母L 表示。 ( ) 10、发光二极管(LED )通常情况下脚长的为负极,脚短的为正极。 ( ) 三、单项选择题 (本题共10小题,每小题4分,共40分。) 1、电阻常用的封装形式为 ( ) A RAD0.2 B RB.2/.4 C AXIAL0.4 D DIODE0.4 2、Protel 99 SE 中原理图文件的扩展名为 ( ) A *.Schlib B *.Sch C *.SchDoc D *.Sdf 3、在编辑区内,要使处于激活状态的元件旋转90°,可按下键盘上的 ( ) A 回车键 B X 键 C Y 键 D 空格键 4、同一电路板内,印制导线宽度最大的一般是 ( ) A 信号线 B 地线 C 电源线 D 飞线 5、对电路原理图进行电气规则检查后形成的报告文件格式是 ( ) A *.ERC B *.ERR C *.DRC D *.REP 6、要实现编辑区的刷新功能,可以按下键盘上的 ( ) A End 键 B Alt 键 C Tab 键 D Ctrl 键 7、要缩小编辑区可以使用键盘上的 ( ) A Page Up 键 B Page Down 键 C Home 键 D End 键 8、参数设置中,用于锁定栅格并设定光标位移步长的参数是 ( ) A Grid B Visible Grid C Snap Grid D Electrical Grid 9、创建或修改元件封装图时,必须启动的编辑器是 ( ) A Schematic B PCB C Schematic Library D PCB Library 10、在印制电路板中,元件的外形、序号和说明性文字一般放在 ( ) A Multi Layer B Keep Out Layer C Top Overlayer D Top Layer 四、简答题(本题共5小题,每小题6分,共30分。)

电子设计自动化学习心得范文

电子设计自动化学习心得范文 通过这一周的课程设计,我对一些专业知识和电子设计有了更深的了解,同时也尝试着去应用自己的所掌握的知识。本次电子课程设计主要是对本学年学习的模拟电子技术和数字电子技术的应用,同时加上电路等知识,设计一些课题。下面就是带来的电子设计自动化学习心得,希望大家喜欢。 电子设计自动化学习心得1 随着科学飞速发展,电气自动化在日常生活工作中的地位日益提高,电子的高度集成、电气设备的飞速更新,使得我在工作中的专业知识很难跟上科技的步伐,通过黑龙_0_年度专业技术人员继续教育知识更新的培训学习的不同课程,使我的专业知识得到巩固和提高,在工作中遇到的技术难题的以解决,也让我深刻体会到电气自动化对人类工业发展的重要性,在这次培训中我所体会的心得如下: 20世纪科学技术迅速发展,至如今已经涌现出众多新兴科学技术分支:计算机和信息论;如控制论和自动化技术;分子生物学和遗传工程;激光技术和光导纤维;宇航科技等等。它们汇聚成一股巨大的力量,急剧地改变着人类的劳动方式和生活方式,促进社会各方面产生深刻的变化。它不仅冲击着生产第一线的工人

和农民,而且冲击着企业、事业、政府机关,甚至家庭主妇。这些变革来得如此之快,致使对社会现象最为敏感的社会学家也感到愕然:这个社会将去向何处?从科学意义上来看,人类社会无一不是能量交换和信息交换的有机组合,当我们详尽地了解了人类社会各种具体的特殊规律之后,都可以用具有这两种功能的机器来完成,这便是自动化技术。所以从某种意义上来说,自动化就是现代化的代名词。由此可以断言,人类社会历经原始的人力时代,走过精密的机械时代,如今正处于一个新的变革时期,而这次变革的终点便是振奋人心的自动化时代。之所以说它“振奋人心”,是因为在自动化时代里,几乎所有的生产活动都可以用机器来完成,人类劳动生产率将得到大大的提高,社会财富将极大丰富。只有在此时,人类才能摆脱为了糊口而不得不从事的强迫劳动,生产力得到全面的解放,使实现共产主义真正成为可能。 我国古代的指南车、木牛流马、铜壶滴漏,欧洲的钟表报时装置和一些手工机械,无一不反映人民的聪明智慧,多少都带有一些“自动”的味道。但真正刻意设计出来取代或增强人的智能功能,从而能在不确定的条件下保证实现预定目标的自动装置最早应属瓦特发明的蒸汽机上的离心调速器。它自觉地运用了反馈原理,从而能在锅炉压力和负荷变化的条件下把转速保持在一定的范围。

相关文档
最新文档