2015 EDA课程设计任务书

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称数字频率计的设计

设计内容及要求1、设计一个能测量方波信号的频率计。

2、测量的频率范围是0 999999Hz。

3、结果用十进制数显示。

4、时钟显示使用数码管显示,系统提供50MHZ频率的时钟源。

5、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

6、完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证,设计VHDL语言程序

第3天设计VHDL语言程序

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

进度安排

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称8位减法器的设计

设计内容及要求

试设计一个8位二进制并行减法器,它由两个4位二进制并行减法器级联而成。要求被减数与减数之差在数码管上以十进制数显示出来,LED1显示借位输出,当被减数大于减数时,LED1熄灭表示没在借位,当被减数小于减数时,LED1亮表示有借位,其它7位LED平时在熄灭状态。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称数控分频器的设计

设计内容及要求

试设计一个数控分频器,数控分频的预置数自定,但可由输入按键任意改变,并同时在数码管上显示其十进制的数值。数控分频器的输出接扬声器,通过按键改变预置数,可听到不同音调的声音。数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相比较即可。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称序列检测器的设计

设计内容及要求

试设计一个序列检测器。序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号。当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。当一串待检测的串行数据进入检测器后,若此数在每一位的连续检测中都与预置的密码数相同,则通过数码器输出“89ABCDEF”,否则任然输出“01234567”。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称带使能和片选端的8∶3线优先编码器设计

设计内容及要求

试设计一个带使能和片选端的8∶3线优先编码器,输入的数字信号由实验装置上的开关给定,输出由LED完成,同时数码管也显示输入和输出的数字信号。要求设置2个按钮,一个供“开始” 用,一个供系统“复位”用。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

EDA技术及应用课程设计任务书6

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称32进制同步减法计数器设计

设计内容及要求

试设计一个32进制同步减法计数器,输入的数字信号由实验装置上的开关给定,输出由LED完成,同时数码管也显示输入和输出的数字信号。要求设置2个按钮,一个供“开始” 用,一个供系统“复位”用。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称彩灯控制器的设计

设计内容及要求(1)课题内容:

1、设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花

型(自拟);每种花型持续的时间为20秒。

2、使用数码管显示当前的花型序号(分别为A、B、C)以及该花型的显示

时间。如数码管显示“A-08-234”即表示花型A,已经显示了8秒234毫秒。

(2)主要任务:完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称洗衣机控制器的设计

设计内容及要求(1)课题内容:

1、设计一个电子定时器,定时180秒,在这3分钟之内控制洗衣机作如下

运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止;

2、用数码管显示当前洗衣机的动作及该动作运行的时间(秒)。正转、反转

及暂停动作显示时分别用H、F和P来表示。

(2)主要任务:完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称十进制硬件乘法器的设计

设计内容及要求

设计一个无符号十进制硬件乘法器,使用Create-SOPC2000实验平台的KEY键或者拨码开关作为输入和控制信号输入通道,数码管显示输出信号。例如:首先输入乘数“1000”(数码管显示8),然后输入被乘数“0111”(数码管显示7),最后按操作键在数码管上显示乘积56。此时8个数码管应从左到右显示:8H7=56。系统中不允许调用系统提供的参数可调乘法器。

系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

EDA 技术课程设计任务书10

系(部):电子与通信工程系 专业:电子信息工程 指导教师:马凌云,谢明华课题名称篮球比赛电子记分牌设计设计内容及要求设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A 方得分;2位数码管显示B 方得分;使用LED 灯作为上、下半场的标志;单次加分值包括1分、2分和3分。系统提供50MHZ 频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。设计工作量1、VHDL 语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案, 对设计方案进行必要的修正,方案确定后开始进行VHDL 语言程序设计第4天设计VHDL 语言程序第5天在实验装置上进行硬件测试,编写设计说明书进

排编写设计说明书、管路敷设技术通过管线敷设技术不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标高等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内,强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

教研室

意见

年月日系(部)主

管领导意见

年月日

EDA技术课程设计任务书11

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称电风扇自动定时控制器的设计

设计内容及要求

实现一个电风扇自动定时控制器,该控制器可设置电风扇延迟启动时间及风扇运转的时间(分、秒);使用数码管显示延迟启动或风扇运转的倒计时时间。如:C-02-55表示延迟状态剩余键位2分55秒;A-03-12表示风扇还要继续运行3分12秒;“开始”按钮按下时,报讯3声;“暂停”按钮按下时,停止倒计时,再按一次暂停键,倒计时开始。

系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称按键游戏电路设计

设计内容及要求

用8个灯作目标,与之对应有8个按键进行控制。每一次8个灯中随机出现一个灯处于“亮”的状态,在灯亮的时间内要求按到对应的按键,若按到则加10分,且灯熄灭,加分到1000分游戏结束。

系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

系(部):电子与通信工程系专业:电子信息工程指导教师:马凌云,谢明华课题名称单脉冲产生器设计

设计内容及要求

设计一个可编程单脉冲发生器,当使能按键按下时,输出一个脉冲信号

到LED灯,重复再按使能键,无效。脉冲宽度可设置为1-10秒共10个等级。数码管显示累计输出的脉冲个数。

系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并

制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

起止日期(或时间量)

设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料

第2天设计方案论证

第3天

进一步讨论方案, 对设计方案进行必要的修

正,方案确定后开始进行VHDL语言程序

设计

第4天设计VHDL语言程序

第5天

在实验装置上进行硬件测试,编写设计说

明书

编写设计说明书

教研室

意见

年月日

系(部)主

管领导意见

年月日、

线

线

线

线

线

线

线

线

线

使

线

相关文档
最新文档