单片机多路数据采集控制系统课程设计报告

单片机多路数据采集控制系统课程设计报告
单片机多路数据采集控制系统课程设计报告

单片机多路数据采集控制系统课程设计报告

叶醒Xb09610118 余希Xb09610120

一、设计目的

运用单片机原理及其应用等课程知识,根据题目要求进行软硬件系统的设计和调试,从而加深对本课程知识的理解,把学过的比较零碎的知识系统化,比较系统的学习开发单片机应用系统的基本步骤和基本方法,使学生应用知识能力、设计能力、调试能力以及报告撰写能力等有一定的提高。

二、设计要求

用8051单片机设计数据采集控制系统,基本要求如下:

基本部分:

1.可实现8路数据的采集,假设8路信号均为0~5V的电压信号。

2.采集数据可通过LCD显示,显示格式为[通道号] 电压值,如[01] 4.5。

3.可通过键盘设置采集方式:单点采集、多路巡测、采集时间间隔。

4.具有异常数据声音爆晶功能:对第一路数据可设置正常数据的上限值和下限值,当采集的数据出现异常,发出报警信号。

选作功能:

1.异常数据音乐报警。

2.可输出8路顺序控制信号,设每路顺序控制信号为一位,顺序控制的流程为:

三、总体设计

我们选择单片机与A/D转换芯片结合的方法实现本设计。使用的基本元器件是:A T89C52单片机,ADC0809模数转换芯片,LCD显示器,按键,电容,电阻,晶振等。

数字电压测量电路由A/D转换、数据处理及显示控制等组成。A/D转换由集成电路ADC0809完成。ADC0809具有8路拟输入端口,地址线(23~- 25脚)可决定对哪一路模拟输入作A/D换。22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存。6脚为测试控制,当输入一个2uS宽高电平脉冲时,就开始A/D转换。7脚为A/D转换结束标志,当A/D转换结束时,7脚输出高电平。9脚为A/D转换数据输出允许控制,当OE脚为高电平时,A/D转换数据从该端口输出。10脚为0809的时钟输入端。单片机的P1.5~P1.7、P3端口作1602液晶显示控制。P2端口作A/D转换数据读入用,P0端口用作0809的A/D 转换控制。

通过对单片机p3.5口置低电平控制LED亮灯,p3.4口置高电平时蜂鸣器报警。

流程图:

主要程序介绍:CLR P1.7

MOV A,R0

MOV DPTR,#1FFFH

RL A

RL A

RL A

RL A

RL A

MOV P0,A

MOV P2,#0

CLR P3.6

SETB P3.6

AD2:

JNB P3.2,AD2

MOVX A,@DPTR

MOV R1,A

RET

是选择ADC0808的哪个口读电压,然后手动开启ADC0808工作,再将读到的代表电压的二进制送入单片机内部

MOV A,R1

MOV DPTR,#ADTAB_1

MOVC A,@A+DPTR

MOV R2,A

MOV A,R1

MOV DPTR,#ADTAB_2

MOVC A,@A+DPTR

MOV R3,A

RET

将读到的二进制数进行查表转化,转化为可识别的电压,再处理,然后通过数码管输出

四、硬件设计

1.原理图

2.PCB图

3.80C52

80C52是INTEL公司MCS-51系列单片机中基本的产品,它采用INTEL公司可靠的CHMOS 工艺技术制造的高性能8位单片机,属于标准的MCS-51的HCMOS产品。它结合了HMOS的高速和高密度技术及CHMOS的低功耗特征,它基于标准的MCS-51单片机体系结构和指令系统,属于80C51增强型单片机版本,集成了时钟输出和向上或向下计数器等更多的功能,适合于类似马达控制等应用场合。

80C52内置8位中央处理单元、256字节内部数据存储器RAM、8k片内程序存储器(ROM)、32个双向输入/输出(I/O)口、3个16位定时/计数器和5个两级中断结构,一个全双工串行通信口,片内时钟振荡电路。

此外,80C52还可工作于低功耗模式,可通过两种软件选择空闲和掉电模式。在空闲模式下冻结CPU 而RAM定时器、串行口和中断系统维持其功能。掉电模式下,保存RAM数据,时钟振荡停止,同时停止芯片内其它功能。80C52有PDIP(40pin)和PLCC(44pin)两种封装形式。

4.ADC0809

ADC0809是美国国家半导体公司生产的CMOS工艺8通道,8位逐次逼近式A/D模数转换器。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。是目前国内应用最广泛的8位通用A/D芯片。

本数据采集系统采用单片机作为处理器。单片机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。

目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。

(1) ADC0809结构功能

1)8路8位A/D转换器,即分辨率8位。

2)具有转换起停控制端。

3)转换时间为100μs

4)单个+5V电源供电

5)模拟输入电压范围0~+5V,不需

零点和满刻度校准。

6)工作温度范围为-40~+85摄氏度

7)低功耗,约15mW。

2.内部结构

ADC0809是CMOS单片型逐次逼近

式A/D转换器,内部结构如图13.22

所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近

3.外部特性(引脚功能)

ADC0809芯片有28条引脚,采用双列直插式封装,如图13.23所示。下面说明各引脚功能。

IN0~IN7:8路模拟量输入端。

2-1~2-8:8位数字量输出端。

ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路

ALE:地址锁存允许信号,输入,高电平有效。

START:A/D转换启动信号,输入,高电平有效。

EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。

OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。

CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。

REF(+)、REF(-):基准电压。

Vcc:电源,单一+5V。

GND:地。

ADC0809的工作过程是:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译

码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动A/D转换,之后EOC输出信号变低,指示转换正在进行。直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上。

(2) ADC0809的工作时序

地址锁存信号ALE在上升沿将三位通道

地址锁存,相应通道的模拟量经过多路模拟开

关送到A/D转换器。启动信号START上升

沿复位内部电路,START的下降沿启动转换,

此时转换结束信号EOC呈低电平状态,由于

逐位逼近需要一定过程,所以,在此期间,模

拟输入量应维持不变,比较器要一次次比较,

直到转换结束,此时变为高电平。若CPU发

出输出允许信号OE(输出允许为高电平),则

可读出数据。另外,ADC0809具有较高的转

换速度和精度,同时受温度影响也较小。

(3) ADC0809与MCS-51单片机的接口电路

ADC0809与MCS-51系列单片机的接口电路如图5所示。图中,74LS373输出的低3位地址A2、A1、A0加到通道选择端A、B、C,可作为通道编码。其通道基本地址为0000H~0007H。8051的WR与P2.7经过或非门后,可接至ADC0809的START及ALE引脚。8051的RD与P2.7经或非门后则接至ADC0809的OE端。ADC0809的EOC经反相后接到8051单片机的P3.3(INT1)。

“数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相

应的系统称为数据采集系统。

由于采集的是直流信号,对于缓慢

变化的信号不必加采样保持电路,因此

选用市面上比较常见的逐次逼近型

ADC0809芯片,该芯片转换速度快,价

格低廉,可以直接将直流电压转换为计

算机可以处理的数字量。同时选用低功

耗的LCD显示器件来满足其在终端显

示采集结果的需求。终端键盘控制采用

尽可能少的键来实现控制功能,为了防

止键盘不用时的误操作,设计时还设置

了锁键功能,在键盘的输入消抖方面,

则采用软件消抖方法来降低硬件开销,

提高系统的抗干扰能力。软件设计方面

则采用功能模块化的设计思想;键盘模

数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。

4.Lm016l

液晶模块简介

LM016L的结构及功能

LM016L液晶模块采用HD44780控制器,hd44780具有简单而功能较强的指令集,可以实现字符移动,闪

烁等功能,LM016L与单片机MCU通讯可采用8位或4位并行传输两种方式,hd44780控制器由两个8位寄存器,指令寄存器(IR)和数据寄存器(DR)忙标志(BF),显示数RAM(DDRAM),字符发生器ROMA(CGOROM)字符发生器RAM(CGRAM),地址计数器RAM(AC)。IR用于寄存指令码,只能写入不能读出,DR用于寄存数据,数据由内部操作自动写入DDRAM和CGRAM,或者暂存从DDRAM和CGRAM读出的数据,BF为1时,液晶模块处于内部模式,不响应外部操作指令和接受数据,DDTAM用来存储显示的字符,能存储80个字符码,CGROM由8位字符码生成5*7点阵字符160中和5*10点阵字符32种.8位字符编码和字符的对应关系,可以查看参考文献(30)中的表4. CGRAM是为用户编写特殊字符留用的,它的容量仅64字节,可以自定义8个5*7点阵字符或者4个5*10点阵字符,AC可以存储DDRAM和CGRAM的地址,如果地址码随指令写入IR,则IR自动把地址码装入AC,同时选择DDRAM 或CGRAM但愿,LM016L 液晶模块的引脚功能如下表所示:

引脚说明

1602字符型LCD通常有14条引脚线或16条引脚线的LCD,多出来的2条线是背光电源线

VCC(15脚)和地线GND(16脚),其控制原理与14脚的LCD完全一样,其中:

引脚符号功能说明

1 VSS 一般接地

2 VDD 接电源(+5V)

3 V0 液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。

4 RS RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。

5 R/W R/W为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。

6 E E(或EN)端为使能(enable)端,下降沿使能。

7 DB0 底4位三态、双向数据总线0位(最低位)

8 DB1 底4位三态、双向数据总线1位

9 DB2 底4位三态、双向数据总线2位

10 DB3 底4位三态、双向数据总线3位

11 DB4 高4位三态、双向数据总线4位

12 DB5 高4位三态、双向数据总线5位

13 DB6 高4位三态、双向数据总线6位

14 DB7 高4位三态、双向数据总线7位(最高位)(也是busy flang)

15 BLA 背光电源正极

16 BLK 背光电源负极

五、调试及结果

六、主程序

ORG 00H

AJMP MAIN

ORG 30H

RS EQU P1.6

RW EQU P1.7

E EQU P1.5

MAIN:

MOV R0,#00H

MOV R4,#01H

ANJIAN:

JNB P1.0,MOSHI0 ;判断按键是否按下

JNB P1.1,MOSHI1

SJMP ANJIAN

MOSHI0: ;按键1功能多路顺序采集电压AN1:JNB P1.0,AN1

ACALL J0

INC R0

INC R4

JNB P1.0,MOSHI0

JNB P1.1,MOSHI1

ACALL DELAY1

JNB P1.0,MOSHI0

JNB P1.1,MOSHI1

ACALL DELAY1

JNB P1.0,MOSHI0

JNB P1.1,MOSHI1

ACALL DELAY1

SJMP MOSHI0

MOSHI1: ;按键2暂停和单点采集

AN2:JNB P1.1,AN2

ACALL J0

INC R0

INC R4

SJMP ANJIAN

DELAY1: ;电压显示间隔延迟

MOV 43H,#02H

D3:MOV 40H,#0FFH

D1:MOV 41H,#0FFH

D2:

DJNZ 41H ,D2

DJNZ 40H,D1

DJNZ 43H,D3

RET

J0: ;显示单个电压主程序

CJNE R0,#08H,J1

MOV R0,#00H

CJNE R4,#09H,J1

MOV R4,#01H

J1:

LCALL AD

LCALL VHD

MOV P0,#00000010B ;数码管初始化

ACALL LOOP

MOV P0,#00111000B

ACALL LOOP

MOV P0,#00001111B

ACALL LOOP

MOV P0,#00000110B

ACALL LOOP

MOV P0,#0C0H ;对数码管中数据显示位置确定ACALL LOOP

MOV P0,#01011011B ;给数码管赋要显示的数据ACALL XIAN

MOV P0,#0C1H

ACALL LOOP

ACALL XIAN

MOV P0,#0C2H

ACALL LOOP

MOV A,R4

ADD A,#00110000B

MOV P0,A

ACALL XIAN

MOV P0,#0C3H

ACALL LOOP

MOV P0,#01011101B

ACALL XIAN

MOV P0,#0C4H

ACALL LOOP

MOV A,R2

ADD A,#00110000B

MOV P0,A

ACALL XIAN

MOV P0,#0C5H

ACALL LOOP

MOV P0,#00101110B

ACALL XIAN

MOV P0,#0C6H

ACALL LOOP

MOV A,R3

ADD A,#00110000B

MOV P0,A

ACALL XIAN

MOV P0,#0C7H

ACALL LOOP

MOV P0,#01010110B

ACALL XIAN

RET

AD: ;打开ADC0808启动A/D转化,将输出的二进制读入CLR P1.7

MOV A,R0

RL A

RL A

RL A

RL A

RL A

MOV P0,A

MOV P2,#0

CLR P3.6

SETB P3.6

AD2:

JNB P3.2,AD2

MOVX A,@DPTR

MOV R1,A

RET

VHD: ;查表程序,对读入的二进制对应的电压查找MOV A,R1

MOV DPTR,#ADTAB_1

MOVC A,@A+DPTR

MOV R2,A

MOV A,R1

MOV DPTR,#ADTAB_2

MOVC A,@A+DPTR

MOV R3,A

RET

LOOP: ;对数码管写指令代码

CLR RS

CLR RW

CLR E

ACALL DELAY

SETB E

RET

DELAY: ;检测数码管是否正忙

MOV P0,#0FFH

CLR RS

SETB RW

CLR E

NOP

SETB E

JB P0.7,DELAY

RET

XIAN: ;写入数码管要显示的数据

SETB RS

CLR RW

CLR E

ACALL DELAY

SETB E

RET

ADTAB_1: ;查表程序

DB

0,2,1,3,0,3,1,4,0,2,1,4,0,3,2,4,0,2,1,3,0,3,2,4,0,3,1,4,1,3,2,4,0,2,1,3,0,3,2,4,0,2,1,4,1,3,2,4,0,2,1,4,0,3,2,4,0,3, 1,4,1,3,2,4

DB

0,2,1,3,0,3,1,4,0,2,1,4,1,3,2,4,0,2,1,4,0,3,2,4,0,3,1,4,1,3,2,4,0,2,1,3,0,3,2,4,0,2,1,4,1,3,2,4,0,2,1,4,0,3,2,4,0,3, 1,4,1,3,2,5

DB

0,2,1,3,0,3,1,4,0,2,1,4,1,3,2,4,0,2,1,3,0,3,2,4,0,3,1,4,1,3,2,4,0,2,1,3,0,3,2,4,0,2,1,4,1,3,2,4,0,2,1,4,0,3,2,4,0,3, 1,4,1,3,2,5

DB

0,2,1,3,0,3,1,4,0,2,1,4,1,3,2,4,0,2,1,4,0,3,2,4,0,3,1,4,1,3,2,4,0,2,1,3,0,3,2,4,0,3,1,4,1,3,2,4,0,2,1,4,0,3,2,4,0,3, 1,4,1,3,2,5

ADTAB_2:

DB

0,5,3,8,6,1,9,4,3,8,6,1,9,5,2,7,2,7,4,9,8,3,0,5,5,0,7,2,1,6,4,9,1,6,3,8,7,2,0,5,4,9,6,2,0,5,3,8,2,7,5,0,9,4,1,6,5,1, 8,3,2,7,4,9

DB

0,5,3,8,7,2,9,4,4,9,6,1,0,5,2,7,2,7,5,0,8,3,1,6,5,0,8,3,1,6,4,9,1,6,4,9,7,3,0,5,4,9,7,2,1,6,3,8,3,8,5,0,9,4,2,7,6,1, 8,4,2,7,5,0

通过本次课程设计的学习,我学到了好多有用的知识。作为电子信息专业的学生,懂得了,利用电路板将电子器件布局,巧妙的焊接以及程序的设计是这个专业不可缺少的学习和工作手段。并且在学习中,要熟练地掌握及应用专业的相关软件,protel99,proteus等等。通过本次的学习,我还懂得更好的利用编程来解决一些实际的问题工程问题,使我对汇编编程有了更好的掌握,在接下来的学习生涯中,要不断的加深对专业知识的理解以及应用,还要对相关软件不断的学习以及利用编程等手段解决一些实际问题。

多路数据采集

目录 一、任务与要求 (2) 二、总体设计 (2) 1、电路原理框图 (2) 2、整体工作原理 (3) 三、各部分电路原理图 (4) 1、模拟开关部分 (4) 2、D/A转换部分 (4) 3、三态门驱动部分 (5) 3、RAM部分 (5) 4、十六位数码显示 (6) 5、A/D转换部分 (6) 6、逻辑控制与时钟电路 (7) 四、仿真结果 (7) 1、进行一路数据的采集 (7) 2、进行两路信号的采集 (8) 五:转换精度的分析 (9) 六、该电路实现的功能 (10)

多路数据采集系统的设计报告 一、任务与要求 数字电路所能处理的信号为数字信号,而生产实践中的许多信号属于模拟信号,因而,模/数变换和数/模变换就成为电子技术应用中的基本环节。本实验用数/模、模/数转换器为主设计制作一个数据采集系统。 (1) 用ADC0809或其它ADC 芯片实现对两路以上的模拟信号的采集,模拟信号 以常用物理量温度为对象,可以经传感器、输入变换电路得到与现场温度成线性关系的0~5V 电压,也可以直接用0~5V 的电压模拟现场温度。采集的数据一方面送入存储器保存(如RAM6264),同时用数码管跟踪显示。 (2) 从存储器中读出数据,经D/A 芯片0832或其它DAC 芯片作D/A 变换,观察 所得模拟量与输入量的对应情况 (3) 分析转换误差,研究提高转换精度的措施。 二、总体设计 1、电路原理框图 数据采集系统框图如图8-6-1。

图1数据采集系统框图 说明: (1)、在multisim中使用两个函数发生器产生一个Vpp为5v的正弦波和Vpp 为5V的三角波作为传感信号。 (2)、数字量显示使用的是十六进制。 (3)、在此电路中用模拟开关控制采集哪路信号。 2、整体工作原理 图1数据采集系统电路图 当电路上电开始工作时,J2处于低电位,RS触发器处于置一状态,将开关J2开到高电位时,此时RS为保持状态,控制三态门工作,并使RAM置于写状态,控制A/D不工作。D/A转换器每进行完一次转换都会使EOC’输出一高电平,当下一次转换开始时EOC’又开始变为高电平,利用EOC’给计数器提供冲击脉冲使其计数,并计数器的计数功能来控制RAM的内存单位自动加一,从而使000H--1FFH

嵌入式微控制器课程设计报告

嵌入式系统设计与综合实验设计报告 设计题目:简易数字电压计 专业: 班级: 设计者: 学号: 指导教师: 时间:

目录 一系统设计要求 (3) 1.1设计目的 (3) 1.2设计内容 (3) 1.3设计要求 (3) 二、系统总体设计方案 (4) 2.1设计思想 (4) 2.2系统组成 (4) 2.3工作原理说明 (4) 2.4方案设计 (4) 三、系统硬件设计 (6) 3.1系统硬件设计方案 (6) 3.2系统硬件连线图 (6) 四、系统软件设计 (8) 4.1 ADC0808转换模块 (8) 4.2 LCD显示模块 (9) 4.3 主程序 (9) 4.4 程序算法分析 (9) 4.5关键程序段说明 (10) 五、系统调试及结论 (11) 5.1.调试方法 (11) 5.2.设计、调试过程中重点问题及解决方法 (11) 5.3.运行结果及结论 (12) 5.3.1运行结果 (12) 5.3.2结论 (15) 六、设计体会 (16) 七、参考文献 (17) 八、源程序 (17) 8.1 main主程序 (18) 8.2 LCD显示程序 (25) 8.3 字模程序 (31)

一、系统设计要求 1.1、设计目的 通过数字电压表的设计,使同学们进一步掌握: (1)AT89C51汇编语言程序的设计和调试; (2)信号电压的数字测量方法; (3)AD0808转换器的基本工作原理和应用; (4)微机基本应用系统的设计方法; 1.2、设计内容 设计一个基于单片机控制的简易数字电压表,利用A/D实现多通道采样模拟电压值,该数字电压表可以测量0~5V的3路输入电压值,并在LCD显示器上3路同时显示四位数的电压值或单路选择显示四位数的电压值(或在四位LED数码管上轮流显示或单路选择显示电压值)。测量最小分辨率为0.019V,测量误差约为士0.02V。通过按键任意设置电压上下限值,当电压超过上下限值时,LED或者蜂鸣器报警。 设计相应的A/D、键盘、显示接口电路,可在线键盘参数设置、定时检测、显示、报警。 1.3设计要求 (1)针对实验装置已有条件,设计电压测量原理线路; (2)编制相应的测试软件,实现电压测量; (3)实际上机调试,完成综合试验; (4)编写设计说明书(含原理图,程序及说明,实验方法,过程及结果)。

单片机课程设计报告模板资料

哈尔滨远东理工学院 课题名称 专业班级 学号 学生姓名 指导教师 2015年10月14日

1、例举设计过程中遇到的问题及其解决方法(至少两例)。答:(1)问题说明: 解决方法: (2)问题说明: 解决方法: 2、教师现场提的问题记录在此(不少于2个问题)。

目录 1 设计任务 (1) 2设计方案 (2) 2.1任务分析 (2) 2.2方案设计 (2) 3 系统硬件设计 (3) 3.1时钟电路设计 (3) 3.2复位电路设计 (3) 3.3 灯控制电路设计 (3) 3.4 倒计时显示电路设计 (4) 3.5 按键控制电路设计 (5) 4 系统软件设计 (6) 4.1 1S定时 (6) 4.2 定时程序流程 (6) 4.3交通灯的设计流程图 (6) 4.4定时器0 及中断响应 (7) 5仿真与性能分析 (8) 6心得体会 (9) 参考文献 (10) 附录1 系统原理图 .......................................................................错误!未定义书签。附录2 系统PCB图 .....................................................................错误!未定义书签。附录3 程序清单 .. (11) II

1 设计任务 支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图1.1所示。设东西向为主干道,南北为支干道。 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒,支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速,就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。

多路数据采集器设计报告

多路数据采集器设计 1.设计要求 所设计的数据采集器,共有16路信号输入,每路信号都是直流0~20mV信号,每秒钟采集一遍,将其数据传给上位PC计算机。本采集器地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,通信用RS232接口,通信芯片用MAX232。 与PC机的RS232串口进行通信。 设计采集器的电原理图,用C51语言编制采集器的工作程序。 2.方案设计 按要求,设计数据采集器方案如下所示: 数据采集器采用AT89C51单片机作为微控制器,模拟开关4067的地址A、B、C、D分别与P1.0~P1.3连接,通过控制P1口输出来选择输入信号,将直流信号依次输入ADC0809的模拟信号输入端,ADC0809共有8路输入通道,在使用模拟开关时,仅将模拟开关的输出端连接到ADC0809的1路输入通道即可,本方案中使用0通道。ADC0809的转换结果通过P0口传给单片机,单片机将采集结果通过串行通信RS232接口上传给上位PC机,实现数据的采集。 数据采集器方案示意图

3.电路原理图 a)AT89C51单片机电路 本实验中选取8位单片机AT89C51作为微控制器,需要片外11.0592MHz的振荡器,4K字节EPROM,128字节RAM,与51单片机有很好的兼容性。在本此实验中程序及数据不多,故无需另加外部程序存储器。单片机部分的电路如下所示: AT89C51单片机电路 b)数据输入部分

数据输入部分由模拟开关4067实现多路信号的切换。CD4067是单16路(单刀16位)模拟开关,各开关由外部输入二进制的地址码A、B、C、D来切换。其中脚10、11、14和13是地址码A(LSB)、B、C、D(MSB)的输入端;脚2~9和16~23是开关的输入/输出端(开关位);脚1是开关的输出/输入公共端(开关刀);脚15为控制端,低电平有效(选通),高电平禁止(开关开路)。 输入脚A、B、C、D分别与单片机P1.0~P1.3相连,改变P1输出即可切换输入通道,控制脚与P2.4相连。输出脚1后接电压放大电路。 c)电压调理放大电路 电压调理电路 由于输入信号均为0~20mV的微弱电压信号,而模数转换器ADC0809的输入量要求为0~5V 直流电压,所以必须后接电压放大电路。放大器选用OP07,将0~20mV电压放大到0~5V,其放大倍数为250倍,一般情况下,放大器的放大倍数最好小于200倍,安全起见,选用两个OP07进行两级放大,前级放大25倍,后级放大10倍,放大电路如上图所示。 d)模数转换部分 ADC0809数模转换电路 模数转换元件选用ADC0809,其主要特性有: 8路8位A/D转换器,即分辨率8位;

单片机课程设计数据采集系统

一、摘要 此系统主要以ADC0808和80C51为核心,进行实时数据采集,数据处理和显示,终端接收及存储。具体包括控制、显示、A/D转化器等。设计中用AD0808进行8路数据的采样,利用51单片机的串行口进行发送和接收数据。利用8个LCD 数码管进行显示数据处理。采用PROTEUS和Keil uvision3为开发工具,软件设计采用模块化编程 关键字:数据采集、ADC0808、双机通讯、IIC 二、前言 随着计算机技术的飞速发展,数据采集系统应用在多个领域中。数据采集时供、农业控制系统中十分重要的环节,在医药、化工、食品等领域中,往往需要随时检测各生产环节的温度、流量、压力等参数。同时,还要对某一检测点任意参数能够进行随机查寻,将其在某一段时间内检测得到的数据经过转换提取出来,以便进行比较,做出决策,调整控制方案,以提高产品的合格率,产生良好的经济效益。 不仅如此,数据采集系统在我国高科技领域中也扮演着十分重要的地位。雷达的实时数据采集,航天飞机成功升空,通讯卫星的实时通报数据,这些高科技给国家人民的生活带来了便利。 因此数据采集是一项十分重要的技术。从严格意义上来讲,数据采集系统是用计算机控制的多路自动检测或巡回检测,并且能够对采集到的数据进行存储、计算、分析,以及从数据中提取可用的信息,供显示,记录、打印或描绘的系统。 数据采集系统通常由数据输入通道、数据处理、数据存储、数据显示、数据输出五个部分组成。输入通道实现对数据的检测并读取;数据转化是将采集到的数据进行适当的转化;以便输出人们易懂的数据;数据存储是对采集过来的数据进行存储;以防下次用到可以方便提取;数据显示便是将处理后的数据进行显示,让操作者可以方便读取采集到的信息,以便进行控制;数据输出就是将数据输送到打印机打印。 由于RS-485在微机远程通信接口中广泛采用,技术已经相当成熟,故采用标准RS-485标准,实现PC与单片机之间的数据传送(由于本次设计在PROTEUS系统中仿真,因此,略去接口RS-485)。 本设计中对多路采集系统做了基本的研究。此次试验主要解决的是怎样进行多路数据采集并如何通过串行口发送数据实现双机通讯的。 三、正文

多路数据采集与控制系统

1 引言 数据采集是指将温度、压力、流量、位移等模拟量采集、转换成数字量后,再由计算机进行存储、处理、显示的过程。在生产过程中,可对生产现场的工艺参数进行采集、监视和记录,为提高产品的质量、降低成本提供信息和手段。本文设计了一套多路数据采集系统,实施采集多现场的温度参数,系统通过RS485总线将采集到的现场温度数据传输至上位机,上位机对采集到的数据进行显示、存储,从而达到现场监测与控制的目的。 2 设计目的和要求 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上 0-5V的模拟电压进行采集的能力,且可以用程序选择装换通道,选择ADC0809 作为A/D转换芯片。 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 3 系统设计方案 1.八路模拟信号的产生 被测电压要求为0~5V的直流电压,可通过八个滑动变阻器调节产生。 2.模拟信号的采集 八路数据采集系统采用共享数据采集通道的结构形式,数据采集方式确定为程序控制数据采集。 3.A/D转换器的选取 八位逐次比较式A/D转换器 4.控制与显示方法的选择 用单片机作为控制系统的核心,处理来自ADC0809的数据。经处理后通过串口传送,由于系统功能简单,完成采样通道的选择,单片机通过接口芯片与LED

数码显示器相连,驱动显示器相应同采集到的数据。 图3.1 总体设计图 4 硬件系统的设计 4.1芯片ADC0809的引脚功能和主要性能 ADC0809八位逐次逼近式A/D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。 ADC0809的引脚图及51单片机引脚图: 图4.1 ADC0809管脚图及51单片机芯片管脚图 模拟输入通道1 ADC0808 单片机 LED 模拟输入通道2 模拟输入通道8

单片机课程设计报告

《单片机原理及接口技术》课程设计题目:简易计算器设计 级:电子1547 名:苏丹丹、李静、齐倩 号:05号、17号、11号

导教师:张老师 间:2013年12月 西安航空学院电气学院

目录 一、选题的背景和意义-------------------1 1.1选题的背景-------------------------------------1 1.2选题的意义-------------------------------------1 二、总体设计-------------------------------1 2.1设计任务---------------------------------------1 2.2方案选择---------------------------------------1 三、硬件设计-------------------------------2 3.1 元器件名称--------------------------------------------------------2 3.2 计算器按键介绍--------------------------------------------------2 3.3硬件系统框图、单元电路--------------------------3 四、软件设计-------------------------------3 4.1 软件调试步骤-----------------------------------------------------3 4.2软件设计流程图---------------------------------------------------4 五、结束语------------------------------------5 六、参考文献--------------------------------5 七、附录---------------------------------------6

智能小车单片机课程设计报告

题目: 智能小车设计 打开命令行终端的快捷方式: ctr+al+t:默认的路径在家目录 ctr+shift+n:默认的路径为上一次终端所处在的路径. linux@ubuntu:~$ linux:当前登录用户名. ubuntu:主机名 :和$之间:当前用户所处在的工作路径. windows下的工作路径如C:\Intel\Logs linux下的工作路径是:/.../..../ ~:代表的是/home/linux这个路径.(家目录). ls(list):列出当前路径下的文件名和目录名. ls -a(all):列出当前路径下的所有文件和目录名,包括了隐藏文件. .:当前路径 ..:上一级路径 ls -l:以横排的方式列出文件的详细信息 total 269464(当前这个路径总计所占空间的大小,单位是K) drwxr-xr-x 3 linux linux 4096 Dec 4 19:16 Desktop 第一个位置:代表的是文件的类型. linux系统下的文件类型有以下几种. b:块设备文件 c:字符设备文件 d:directory,目录 -:普通文件. l:连接文件. s:套接字文件. p:管道文件. rwxr-xr-x:权限 r:读权限-:没有相对应的权限 w:写权限

x:可执行权限 修改权限: chmod u-或者+r/w/x 文件名 chmod g-或者+r/w/x 文件名 chmod o-或者+r/w/x 文件名 第一组:用户权限 第二组:用户组的权限 第三组:其他用户的权限. chmod 三个数(权限) 文件名 首先根据你想要的权限生成二进制数,再根据二进制数转换成十进制的三位数 rwxr-x-wx 111101011 7 5 3 chmod 753 文件名 rwx--xr-x 第二个位置上的数字:对应目录下的子文件个数,如果是非目录,则数字是1 第三个位置:用户名(文件创造者). 第四个位置:用户组的名字(前边的用户所处在的用户组的名字). 第五个位置:对应文件所占的空间大小(单位为b) 第六~八个位置:Dec 4 19:16时间戳(最后一次修改文件的时间) 最后一个位置:文件名 操作文件: 1.创建一个普通文件:touch 文件名 2.删除一个文件:rm(remove) 文件名 3.新建一个目录:mkdir(make directory) 目录名 递归创建目录:mkdir -p 目录1/目录2/目录3 4.删除一个目录:rmdir 目录名.//仅删除一个空目录 rm -rf 目录名//删除一个非空目录 5.切换目录(change directory):cd 路径 linux下的路径分两种 相对路径:以.(当前路径)为起点. 绝对路径:以/(根目录)为起点, 用相对路径的方式进入Music:cd ./Music 用绝对路径的方式进入Desktop:cd /home/linux/Desktop 返回上一级:cd ..

多路数据采集系统设计毕业论文

多路数据采集系统设计毕业论文 第1章绪论 1.1 多路数据采集系统介绍 随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。 此外,计算机的发展对通信起了巨大的推动作用。算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物[2]。 数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等

工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。 由于RS-232在微机通信接口中广泛采用,技术已相当成熟。在近端与远端通信过程中,采用串行RS-232标准,实现PC机与单片机间的数据传输。在本毕业设计中对多路数据采集系统作了初步的研究。本系统主要解决的是怎样进行数据采集以及怎样进行多路的数据采集,并将数据上传至计算机[2]。 1.2 设计思路 多路数据采集系统采用ADC0809模数转换器作为数据采集单元和AT89C51单片机来对它们进行控制,不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高采集数据的灵敏度及指标。通过MAX232电平转换芯片实现单片机与PC 机的异步串行通信,设计中的HD7279实现了键盘控制与LED显示显示功能。本文设计了一种以AT89C51和ADC0809及RS232为核心的多路数据采集系统。 多路数据采集系统就是通过键盘控制选择通路,将采集到的电压模拟两转换成数字量实时的送到单片机里处理从而显示出采集电压和地址值,最终控制执行单片机与PC机的异步串行通信。 连接好硬件后,给ADC0809的三条输入通路通入直流电压。4-F键为功能键,4-E键为复位键,F键为确认键。1-3键为通道选择键,分别采集三个通道的数据值并实时显示出数值和地址值。结合单片机RS232串口功能还实现了与PC机的异

数据采集课程设计.doc

目录 摘要 (3) 第一章方案论证 (4) 1.1设计思路 (4) 1.1.1 A/D模数转换的选择 (4) 1.1.2单片机的选择 (4) 1.1.3显示部分 (4) 1.2设计方案 (5) 第二章硬件部分 (6) 2.1硬件设计的基本原则 (6) 2.2单片机 (6) 2.1.1单片机的概述 (6) 2.1.2简介AT89C51 (7) 2.2 ADC0808 (10) 2.2.1ADC0808概述 (10) 2.2.2简介ADC0808 (10) 2.3 电路设计 (13) 2.3.1主电路设计 (13) 2.3.2复位电路设计 (13) 2.3.3时钟电路设计 (14) 2.3.4显示电路的设计 (15) 第三章软件部分 (17)

3.1软件设计的基本原则 (17) 3.2软件模块的划分 (17) 3.3主要程序 (18) 第四章调试仿真 (20) 4.1结果演示 (20) 4.2结果分析 (21) 总结 (23) 参考文献 (24)

摘要 当用计算机来构成数据采集或过程控制等系统时,所要采集的外部信号或被控制对象的参数,往往是温度、压力、流量、声音和位移等连续变化的模拟量。但是计算机只能处理不连续的的数字量,及离散的有限值。因此,必须用模数转换器即A/D转换器,将模拟信号变成数字信号后,才能送入计算进行处理。 本次设计硬件部分是以AT89C51单片机为核心,包括A/D模数转换模块ADC0808的一个数据采集系统,显示部分采用的是LED数码管,完成每个数据的显示。而软件部分采用模块化设计,结构清晰,修改简单,可读性强,整个软件有显示模块,数据采集模块和主程序模块等。

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

单片机课程设计报告

课程设计报告 课程名称单片机原理及应用 设计题目电子琴的设计 专业班级自动化1142 姓名周太永 学号1104421242 指导教师蔡长青张卓 起止时间2014.6.23-2014.7.11 成绩评定 考核内容设计 表现 设计 报告 答辩 综合 评定 成绩 电气与信息学院

2013/2014学年第二学期 《单片机控制系统设计与调试》课程设计任务书 指导教师:蔡长青班级:自动化1141、2班 地点:机房、单片机实验室(实训中心415) 课程设计题目:基于单片机原理的电子琴设计 一、课程设计目的 1.灵活运用单片机的基础知识,依据课程设计内容,能够完成从硬件电路图设计, 到PCB制版,再到软件编程及系统调试实现系统功能,完成课程设计,加深对单片机基础知识的理解,并灵活运用,将各门知识综合应用。 2.能够上网查询器件资料,培养对新知识新技术的独立的学习能力和应用能力。 3.独立完成一个小的系统设计,从硬件设计到软件设计,增强分析问题、解决问 题的能力,为日后的毕业设计及科研工作奠定良好的基础。 二、课程设计内容(包括技术指标) 1.焊接。认真、仔细,避免缺焊、漏焊。 2.频率计算。会计算脉冲值与频率的关系。 3.工作过程。开机时,第一步是对定时器T0进行初始化,设定它的工作状态(对 于本系统将T0设定为工作方式0);然后判断是否有键按下,如果没有按键按下,继续判断,如果有按键按下,则判断是哪个键按下;再根据按键的功能将计数初值装入定时器T0中中并启动T0,当T0定时完毕后,重新装入计数初值继续定时并将P3.3取反,再次定时完毕后再一次的装入计数初值 继续定时并将P3.3取反,一直循环此操作直到按键释放为止,按键释放后 停止T0工作并再次判断是否又有按键按下,并继续执行以前的过程。 三、时间安排 1.布置任务、查资料1天 2.硬件电路图设计及PCB制版3天 3.硬件电路图及PCB制版验收、电路板焊接1天 4.软件编程设计3天 5.系统调试3天 6.调试验收1天 7.完成设计报告3天 四、基本要求 1.画出硬件电路图,完成PCB制版; 2.画出软件流程图,编写程序(C51语言/汇编语言); 3.完成系统调试; 4.提交设计报告。

嵌入式系统课程设计(基于ARM的温度采集系统设计) 精品

基于ARM的温度采集系统 1.1设计目的 1、注重培养综合运用所学知识、独立分析和解决实际问题的能力,培养创新意识和创新能力,并获得科学研究的基础训练。 2、了解所选择的ARM芯片各个引脚功能,工作方式,计数/定时,I/O口,中断等的相关原理,并巩固学习嵌入式的相关内容知识。 3、通过软硬件设计实现利用ARM芯片对周围环境温度信号的采集及显示。 1.2设计意义 嵌入式系统是以应用为中心,以计算机技术为基础,且软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积、功耗有严格要求的专用计算机系统。它一般由以下几部分组成:嵌入式微处理器、外围硬件设备、嵌入式操作系统。嵌入式系统是面向用户、面向产品、面向应用的,它必须与具体应用相结合才会具有生命力、才更具有优势。因此嵌入式系统是与应用紧密结合的,它具有很强的专用性,必须结合实际系统需求进行合理的裁减利用。嵌入式系统是将先进的计算机技术、半导体技术和电子技术和各个行业的具体应用相结合后的产物,这一点就决定了它必然是一个技术密集、资金密集、高度分散、不断创新的知识集成系统。嵌入式系统必须根据应用需求对软硬件进行裁剪,满足应用系统的功能、可靠性、成本、体积等要求。所以,如果能建立相对通用的软硬件基础,然后在其上开发出适应各种需要的系统,是一个比较好的发展模式。目前的嵌入式系统的核心往往是一个只有几K到几十K微内核,需要根据实际的使用进行功能扩展或者裁减,但是由于微内核的存在,使得这种扩展能够非常顺利的进行。 数据采集(DAQ),是指从传感器和其它待测设备等模拟和数字被测单元中自动采集非电量或者电量信号,送到上位机中进行分析,处理。数据采集系统是结合基于计算机或者其他专用测试平台的测量软硬件产品来实现灵活的、用户自定义的测量系统。被采集数据是已被转换为电讯号的各种物理量,如温度、水位、风速、压力等,可以是模拟量,也可以是数字量。采集一般是采样方式,即隔一定时间(称采样周期)对同一点数据重复采集。采集的数据大多是瞬时值,也可是某段时间内的一个特征值。准确的数据量测

单片机课程设计报告模板

单片机系统课程设计报告 专业:自动化 学生姓名: 学号: 指导教师: 完成日期:2011 年 3 月17 日

目录 1 设计任务和性能指标 (3) 1.1设计任务............................................................................ 错误!未定义书签。 2 设计方案 (4) 2.1任务分析 (4) 2.2方案设计 (4) 3 系统硬件设计 (5) 3.1时钟的电路设计 (5) 3.2复位电路设计 (5) 3.3灯控电路设计 (5) 3.4倒计时电路设计 (6) 3.5按键控制电路设计 (7) 4 系统软件设计 (8) 4.11秒定时 (8) 4.2定时程序流程 (8) 4.3交通灯的设计流程图 (9) 4.4定时器0与中断响应 (10) 5 仿真及性能分析 (10) 5.1仿真结果图 (11) 5.2仿真结果与分析 (12) 6 心得体会 (13) 参考文献 (14) 附录1 系统原理图 (15) 附录2 系统PCB图 .................................................................. 错误!未定义书签。附录3 程序清单 (17)

1.1设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图5.1所示。设东西向为主干道,南北为支干道。 图5.1 交通灯示意图 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干 道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒, 支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。 黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆 禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速, 就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。 南 北 东 西

嵌入式系统开发课程-多路数据采集系统设计

嵌入式系统开发课程-多路数据采集系统设计 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

多路数据采集系统设计

1题目要求 所设计的数据采集系统,共有16路信号输入,每路信号都是0~10mV,每秒钟采集一遍,将其数据传给上位PC计算机,本采集地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,芯片用 MAX232。 设计其电路原理图,用C51语言编制工作程序。 2总体方案设计 根据题目要求,传感器首先采集16路信号,然后被多路模拟开关4067选通某一路信号,接着通过信号调理电路,由A/D转换器进行模/数转换后发送给单片机,之后通过MAX232由RS232串口进行通讯,最终将数据传递到上位PC计算机。因此,数据采集系统主要包括以下几个主要环节: 2.1信号选通环节 由于题目要求采集的信号路数达到了16路,每一路信号的流通路线均相同。如果为每路信号都设置相应的放大、A/D转换单元,成本将大幅度提升。因此可以接入一个多路模拟开关4076,轮流选通每一路信号,实现多路信号共用一个运算放大器和A/D转换单元,即降低了成本,又简化了电路。 4067为16路模拟开关,其内部包括一个16选1的译码器和被译码输出所控制的16个双向模拟开关。当禁止端INH置0时,在I/N0-I/N15中被选中的某个输入端与输出公共端X接通,外部地址输入端A、B、C、D决定了被选通端;当INH置1时,所有模拟开关均处于断路状态。 2.2信号调理电路 为了方便信号的进一步传输和处理,一般均要在传感器的输出端接入信号调理电路,对传感器输出的信号进行变换、隔离、放大、滤波等处理。此处的信号波动范围只有0~10mV,属于微弱信号,需要进行放大处理。按照题目要求,本文设计的系统选用运算放大器OP07。OP07是一种高精的度单片运算放大器,其输入失调电压和漂移值均很低,适合用作前级放大器。 2.3A/D转换器 由于单片机只能处理数字信号,所以需要接入A/D转换器将模拟信号转换成数字信号。本文采用题目提供的ADC0809,它可以和单片机直接通讯。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 此处采用中断的方式使数据在单片机与ADC0809之间进行交换,端口地址为 FF50H;P0口和WR信号共同生成单片机的启动转换信号;为了在启动转换的同时选通通道,将通道地址锁存信号ALE与START相连;把P0口和RD同时处在有效位的组

专业课程设计温度的采集与控制(软件)2

专业课程设计说明书课程设计名称:专业课程设计 课程设计题目:温度的采集与控制(2)学院名称:信息工程学院 专业:电子信息工程班级: 学号:姓名: 评分:教师: 20 年月日

专业课程设计任务书2012-2013学年第二学期分散1周第17 周- 19 周集中

摘要 随着现代信息技术的飞速发展,温度测量控制系统在工业、农业及人们的日常生活中扮演着一个越来越重要的角色,它对人们的生活具有很大的影响,所以温度采集控制系统的设计与研究有十分重要的意义。 本次设计的目的在于学习基于51单片机的温度采集控制系统设计的基本流程。本设计采用单片机作为数据处理与控制单元,为了进行数据处理,单片机控制数字温度传感器,把温度信号通过单总线从温度传感器传递到单片机上。单片机数据处理之后,发出控制信息改变报警和控制执行模块的状态,同时将当前温度信息发送到LED进行显示。本系统可以实现温度信号采集与显示,通过进行温度数据的运算处理,发出控制信号达到控制蜂鸣器和继电器的目的。 关键词:温度温度采集温度控制

目录 第一章系统组成及工作原理 (1) 1.1 设计要求 (1) 1.2 系统组成 (1) 1.3 工作原理 (1) 第二章硬件电路设计 (2) 2.1 温度转换电路 (2) 2.2 A/D转换电路 (2) 2.3 控制电路 (3) 2.4 单片机最小系统 (3) 第三章软件设计 (5) 3.1 主程序流程图 (5) 3.2 7279初始化程序INIT7279 (6) 3.3 发送字节程序STFS (7) 3.4 延时程序 (9) 3.5 中断程序 (10) 3.6 AD采样程序 (12) 3.7 数值转换程序 (13) 3.8 7279送显程序 (14) 第四章实验、调试和测试结果分析 (16) 4.1 主要仪器和工具 (16) 4.2 调试过程及测试结果 (16) 结论 (18) 参考文献 (19) 附录 (20)

单片机课程设计报告书模板

. .. . .. .. 西南科技大学 2011级微机原理与接口技术 课程设计报告 课题名称微机原理与接口技术 姓名 学号 院、系、部制造科学与工程学院 专业 指导教师 2014年月日

目录 一、绪言 (1) 二、系统设计 (1) 2.1系统整体流程图 (1) 2.2日历时钟的控制方案论证 (1) 2.3单片机的选择方案论证 (2) 2.4键盘选择方案论证 (2) 2.5显示模块的选择方案论证 (2) 2.6模块的选择方案论证 (2) 三、硬件电路设计 (2) 3.1日历时钟的控制电路图 (2) 3.2行列式键盘的设计 (3) 3.3数码管显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4)

3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、日历时钟的控制器仿真 (19) 6.1K e i l调试 (19) 6.2P r o t e u s调试 (19) 七、结束语 (20) 八、参考文献 (21) 1、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。电子时钟是现代社会中的主要计时工具之一,广泛应用于手机,电脑,汽车等社会生活需要的各个方面,及对时间有要求的场合。本设计采用AT89C51单片机作为主要核心部件,附以上电复位电路,时钟电路及按键调时电路组成。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

51单片机数据采集系统[1]

课程设计报告书 设计任务书 一、设计任务 1一秒钟采集一次。 2把INO口采集的电压值放入30H单元中。 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1. 采用8051和ADC0809构成一个8通道数据采集系统。 2. 能够顺序采集各个通道的信号。

3. 采集信号的动态范围:0~5V。 4. 每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D转换器循环采样模拟电压,每隔一定时间去采样一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。 目录 第一章系统设计要求和解决方案 第二章硬件系统 第三章软件系统 第四章实现的功能 第五章缺点及可能的解决方法 第六章心得体会

附录一参考文献 附录二硬件原理图 附录三程序流程图 第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如图1-1所示

信号采集分析 被测电压为0~5V 直流电压,可通过电位器调节产生。 信号采集 多路数据采集系统多采用共享数据采集通道的结构形式。 数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地址可随意选择,控制多路传输门开启的通道地址码由存储器中读出的指令确定。即改变存储器中的指令内容便可改变通道地址。 由于顺序控制数据采集方式 缺乏通用性和灵活性,所以本设计中选用程序控制数据采集方式。 采集多路模拟信号时,一般用多路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是 图1-3 程序控制数据采集原理 图1-1 一般系统框图

相关文档
最新文档