VHDL语言学习

VHDL语言学习
VHDL语言学习

VHDL学习

(本学习以MAXPLUS10为工具软件)

第一章、VHDL程序的组成

一个完整的VHDL程序是以下五部分组成的:

库(LIBRARY):储存预先已经写好的程序和数据的集合

程序包(PACKAGE):声明在设计中将用到的常数、数据类型、元件及子程序

实体(ENTITY):声明到其他实体或其他设计的接口,即定义本定义的输入输出端口

构造体(ARCHITECTUR):定义实体的实现,电路的具体描述

配置(CONFIGURATION):一个实体可以有多个构造体,可以通过配置来为实体选择其中一个构造体

1.1库

库用于存放预先编译好的程序包(PACKAGE)和数据集合体,可以用USE语句调用库中不同的程序包,以便不同的VHDL设计使用。

库调用的格式:

LIRARY 库名

USE 库名.所要调用的程序包名.ALL

可以这样理解,库在硬盘上的存在形式是一个文件夹,比如库IEEE,就是一个IEEE的文件夹,可以打开MAX PLUSR安装源文件夹,进入VHDL93的文件夹,就可以看到一个IEEE 的文件夹,这就是IEEE库,而里面的文件就是一个个对程序包或是数据的描述文件,可以用文本打开来查看文件的内容。

例如在VHDL程序里面经常可以看到“USE IEEE.STD_LOGIC_1164”,可以这样解释这句话,本序里要用到IEEE文件夹下程序包STD_LOGIC_1164,而STD_LOGIC_1164是可以在IEEE 文件夹的STD1164.vhd文件里面看到的,用文本打开STD1164.vhd,可以看到有一名为“IEEE.STD_LOGIC_1164”PAKAGE定义。

简单的来说,库相当于文件夹,而程序包和数据就相当于文件夹里面的文件的内容(注意:不是相当于文件,因为程序包和数据都是在文件里面定义的,而文件名是和实体名相同的,可以说实体相当于文件)。

到了这里就可以考虑一个问题,“在安装MAX PLUS时有多少个库已经存在的呢”,要得到这个问题的答案,可以打开安装目录下的“VHDL93”文件夹,就可以看到里面有五个文件夹,分别是ATERA、IEEE、LPM、STD、VITAL,也就是说你看到了五个库,分别是

ATERA功能库:增强型功能部件,即IP核,包括数字信号处理、通信、PCI和其他总线接口、处理器和外设及外设的功能。

IEEE库:由IEEE(美国电子电机工程师学会)制定的标准库

LPM库:参数可调模块库

STD库:符合VHDL标准的库

VITAL库:vhdl上对asic提供高精确度及高效率的仿真模型库

调用库的表达有两种,一是显式表式,就是用LIBRARY和USE来调用库里面的程序包或数据,适用于那些不符合VHDL标准的库调用,比如IEEE库;另一种是隐式表式,就是不用说明就自动调用的,适合于符合VHDL标准的库调用,比如STD库,不用写明调用就已经自动调用出来了。

除了上面所介绍的库外,还有用户自定义库及WORK库,WORK库是用户的VHDL现行工作库,从上面的理解可知,WORK库就是用户当前编辑文件所在的文件夹,文件夹里面的其他文件里面所描述包或数据的集合就是WORK库里面的包和数据的集合。由于WORK 库自动满足VHDL标准,因此在就应用中不必以显式预先说明(比如LIBRARY WORK这样的定义是多余的)。

1.2程序包

在VHDL中,常量、数据类型与子程序可以在实体说明部分和结构体部分加以说明,且实体说明部分所定义的常量、数据类型与子程序在相应的结构体中是可见的(可以被使用的),但在一个实体的说明部分与结构体的部分对于其他实体的说明部分与结构部分是不可见的(注:实体相当于一个文件),程序包(PACKAGE)就是为了使一组常量说明、数据说明、

子程序说明和元件说明等内容对于多个设计实体都成为可见的而提供的一种结构,可以这样理解一个实体(文件)里的PACKAGE对常量等的定义在其于的实体(文件)里是可以被使用的。

程序包由包头和包体构成,包头格式:

PACKAGE 程序包名IS

说明语句;

END 程序包名;

说明语句部分可为:USE语句、类型定义、子程序声明(定义在包体)、常量定义、信号声明、元件声明等。

包体格式:

PACKAGE BODY 程序包名IS

说明语句;

END 程序包名

说明部分用于子程序的定义,注:在包中对子程序的说明分为两部分,子程序声明放在包头,子程序的定义在包体。

实体对于程序包不是自动可见(即不是自动就设为使用)的,为了使用程序包说明的内容就必须在实体的开始加上USE语句(即是要用USE来调用程序包里面所说明的东西),即使实体和程序包是在同一个文件里也要这样调用。

1.3实体

实体(ENTITY)是VHDL设计中最其本的组成部分之一(另一个是结构体),VHDL表达的所有设计均与实体有关。

实体类似于原理图中的一个部件符号,它并不描述设计的具体功能,只是定义所需的全部输入/输出信号。

实体格式如下:

ENTITY 实体名IS

[GENERIC(常数名:数据类型[:设定值])] 类属说明

PORT 端口说明

(端口信号名1:模式类型;

端口信号名2:模式类型;

端口信号名3:模式类型;

端口信号名4:模式类型);

TYPE语句或常量定义实体申明

并行语句实体语句

END 实体名;

实体名:MAXPLUSII要求实体名必须与VHDL文件名相同,否则编译会出错。

类属参量:用于为设计实体和其外部环境通信的静态信息提供通道,可以定义端口的大小、实体中元件数目及实体的定时特性等等;带有GENERIC的实体所定义的元件叫做参数化元件,即元件的规模或特性由GENERIC的常数决定,在GENERIC所定义的常数是可以在引用过程中修改的,因此利用GENERIC可以设计更加通用的元件,弹性地适应不同的应用。

端口信号名:端口信号名在实体之中必须是唯一的,信号名应是合法的标识符

端口模式:分别有IN、OUT、INOUT、BUFFER和LINKAGE,这五种类型在后面的章节将介绍到。

端口类型:常用的有INTEGER、STD_LOGIC、STD_LOGIC_VECTOR,有待后面章节介绍。

实体申明:实体申明部分应放在端口说明的下面,实体申明部分用于定义实体接口中的公共信息,例如可以用来定义新的数据类型和常量定义等。

实体语句:是每一设计实体接口的公共部分,实体语句只能由并行断言语句、并行过程调用语句和被动进程语句,注意,这些实体语句部分应该是被动语句,即在语句中不含有信号赋值语句。

1.4结构体

所有能被仿真的实体都由结构体(ARCHITECTURE)描述,即结构体描述实体的结构或行为,一个实体可以有多个结构体,每个结构体分别代表该实体功能的不同实现方案。

结构体格式:

ARCHITECTURE 结构体名OF 实体名IS

[定义语句(元件例化);]

BEGIN

并行处理语句;

END 结构体名;

结构体名是对本结构体的命名,它是该结构体的惟一名称,虽然可以由设计人员自由命名,但一般都将命名和对实体的描述结合起来,结构体对实体描述有三种方式(括号中为命名):1)行为描述(BEHAVE):反映一个设计的功能和算法,一般使用进程PROCESS,用顺序语句表达;

2)结构描述(STRUCT):反映一个设计硬件方面的特征,表达了内部元件间连接关系,使用元件例化来描述;

3)数据流描述(DATAFLOW):反映一个设计中数据从输入到输出的流向,使用并行语句描述。

1.5配置

一个实体可以用多个结构体描述,具体综合时,选择哪一个结构体来综合,由配置来确定,仿真时用配置语句进行配置能节省大量时间。

配置格式:

CONFIGURATION 配置名OF 实体名IS

FOR 选配结构体名;

END FOR;

END CONFIGURATION;

第二章、数据类型、算符、数据对象、属性

2.1 标识符

VHDL标识符由大小写字母、数字和下划线构成,不区分大小写

2.2 数据对象

在逻辑综合中,VHDL常用的数据对象有信号、变量及常量。

1)信号SIGNAL,为全局变量,在程序包说明、实体说明、结构体描述中使用,用于声明内部信号,而非外部信号(外部信号为IN、OUT、INOUT、BUFFER),其在元件之间起互联作用,可以赋值给外部信号。

定义格式:

SIGNAL 信号名:数据类型[:=初始值];

赋值格式:

目标信号名<=表达式

常在结构体中用赋值语句完成对信号赋初值的任务,因为综合器往往忽略信号声名时所赋的值。

2)变量(VARIABLE),只在给定的进程中用于声明局部值或用于子程序中,变量的赋值符号为“:=”,和信号不同,信号是实际的,是内部的一个存储元件(SIGNAL)或者是外部输入(IN、OUT、INOUT、BUFFER),而变量是虚的,仅是为了书写方便而引入的一个名称,常用在实现某种算法的赋值语句当中。

定义格式:

VARIABLE 变量名:数据类型[:=初始值]

3)常量,全局变量,在结构体描述、程序包说明、实体说明、过程说明、函数调用说明和进程说明中使用,在设计中描述某一规定类型的特定值不变,如利用它可设计不同模值的计数器,模值存于一常量中,对不同的设计,改变模值仅需改变此常量即可,就如上一章所说的参数化元件。

定义格式:

CONSTANT 常数名:数据类型:=表达式;

4)信号和变量最大的不同在于,如果在一个进程中多次为一个信号赋值,只有最后一个值会起作用,而当为变量赋值时,变量的值改变是立即发生的。

2.3 数据类型

VHDL是一种强类型语言,对于每一个常数、变量、信号、函数及设定的各种参量的数据类型(DATA TYPES)都有严格要求,相同数据类型的变量才能互相传递和作用,标准定义的数据类型都在VHDL标准程序表STD中定义,实际使用中,不需要用USE语句以显式调用。

VHDL常用的数据类型有三种:标准定义的数据类型、IEEE预定义标准逻辑位与矢量及用户自定义的数据类型。

1)标准定义的数据类型

Boolean布尔量:取值为FALSE和TRUE

CHARACTER字符:字符在编程时用单引号括起来,如…A?

STRING字符串:双引号括起来,如“ADFBD”

INTEGER整数:整数范围从-(231-1)到(231-1);

REAL实数:实数类型仅能在VHDL仿真器中使用,综合器不支持

BIT位:取值为0或1;

TIME时间:范围从-(231-1)到(231-1),表达方法包含数字、(空格)单位两部分,如(10 PS);

BIT_VECTOR位矢量:其于BIT数据的数组,使用矢量必须注明宽度,即数组中的元素个数和排列,如SIGNAL A:BIT_VECTOR(7 DOWNTO 0)

NATUREAL自然数:整数的一个

POSITIVE正整数:

SEVRITY LEVEL错误等级:在VHDL仿真器中,错误等级用来设计系统的工作状态,共有四种可能的状态值:NOTE,WARNING,ERROR和FAILURE

2)IEEE预定义的标准逻辑位与矢量

STD_LOGIC::工业标准的逻辑类型,取值为…0?、…1?、…Z?、…X?(强未知)、…W?(弱未知)、…L?(弱0)、…H?(弱1)、…—?(忽略)、…U?(未初始化),只有前四种具有实际物理意义,其他的是为了与模拟环境相容才保留的。

STD_LOGIC_VECTOR:工业标准的逻辑类型集,STD_LOGIC的组合。

3)用户自定义的数据类型

有四种,分别是枚举类型、整数类型和实数类型、数组类型、记录类型

枚举类型:

TYPE 数据类型名IS (枚举文字,枚举文字,. . . .)

整数类型与实数类型是标准包中预定义的整数类型的子集,由于综合器无法综合未限定范围的整数类型的信号或变量,故一定要用RANGE子句为所定义整数范围限定范围以使综合器能决定信号或变量的二进制的位数。

格式:TYPE 数据类型名IS RANGE 约束范围;(如-10到+10)

数组类型:

TYPE 数据类型名IS ARRAY(下限TO 上限)OF 类型名称

记录类型:

TYPE 记录类型名IS RECODE

元素名:数据类型名;

元素名:数据类型名;

。。。。。。。。。。。。。

END RECODE

2.4 运算符

VHDL为构造计算数值的表达式提供了许多预定义运算符,可分为四种类型:算术运算符,关系运算符,逻辑运算符与连接运算符。

算术运算符:+、-、*、/、**、MOD、REM、ABS

关系运算符:=、/=、<、<=、>、>=

逻辑运算符:AND、OR、NOT、NAND、NOR、XOR、NOR

连接运算符:&,将多个对象或矢量连接成维数更大的矢量

2.5 VHDL属性

属性是关于实体、结构体、类型及信号的一些特征,有些属性对于综合非常有用,其一般形式均为:对象?属性。

1)数值类属性用于返回数组、块或一般数据的有关值

一般数据的数值属性:LEFT,RIGHT,LOW,HIGH

数组的数值属性:LENGH

块的数值属性:BEHAVIOR,不含有元件COMPONENT例化信息时返回TRUE;STRUCTURE含有元件实例化或有被动进程时,则返回TURE。(注:被动进程定义是在进程定义中没有代入语句)

2)函数类属性

以函数的形式,使设计人员得到有关数据类型、数组、信号的某些信息。

数据类型属性函数:POS(X)得到输入X值的位置序号、VAL(x)得到输入位置序号的X值,SUSS(x),PRED(x),LEFTOF(x),RIGHTOF(x)

数组属性函数:LEFT(n),RIGHT(n),HIGH(n),LOW(n)

3)数据类型属性,这类属性类函数仅一个,即BASE

4)数据区间类的属性,RANGE[(N)]和REVERS_RANGE[(N)]

用户自定义的属性,格式ATTRIBUTE 属性名OF 目标名:目标集合IS表达式以函数的形式,使设计人员得到有关数据类型、

第三章、顺序语句与并行语句

顺序语句和并行语句是VHDL程序设计中两大基本描述语句系列。

3.1顺序语句

顺序语句的特点从仿真的角度来看是每一条语句的执行按书写顺序进行,顺序语句只能出现在块语句、进程和子程序内部,顺序控制方式有两种,一是条件控制(IF和CASE语句),一是迭代控制(LOOP语句和ASSERT语句),有10种基本类型

1)赋值语句

赋值语句分为变量赋值和信号赋值,它们的赋值是有区别的。

首先在格式上,变量赋值格式为“变量名:=表达式”,而信号的赋值格式为“信号名<=表达式”;

其次体现在所用的地方,变量说明和使用都只能在顺序语句中(进程、函数、过程和块模块),而信号的说明只能在同步语句中,但可以在顺序语句和同步语句中使用;

再次体现在赋值过程,变量的赋值是立即的,而信号的赋值的执行和信号值的更新至少要延时DELTA延时,只有延时后信号才能得到新值,否则将保持原值,在进程中,信号赋值在结束时起作用。

2)WAIT语句

WAIT语句属于敏感信号激励信号,一个进程语句含有敏感信号时,进程中不能出现WAIT 等待语句;当进程语句不含有敏感信号时,进程语句必须含有其他形态的敏感信号激励。WAIT语句有五种形式:

WAIT ——无限等待;

WAIT ON (敏感信号1,敏感信号2,敏感信号N)——敏感信号变化,表中的信号产生变化时才往下运行;

WAIT UNTIL 布尔表达式——为TRUE时,进程启动,为FARLSE是等待

WAIT FOR 时间表达式——到时进程才会启动

WAIT UNTIL 布尔表达式ON (敏感信号1,敏感信号2,敏感信号N)FOR 时间表达式——多条件等待语句,注意在多条件等待语句的表达式中,至少应有一个信号量,因为处于等待进程中的变量是不可改变的。

3)IF语句

这种语句在其他编程语言也有,不用多讲,其完整的书写格式

[IF标号:] IF <条件> THEN

<顺序处理语句>;

[ELSIF <条件> THEN

<顺序处理语句>;]

…….

[ELSE

<顺序处理语句>;]

END IF [IF标号]

4)CASE语句

CASE语句是另一种形式的流程控制语句,可读性比IF的强,格式如下

CASE 〈条件表达式〉IS

WHEN 〈条件取值〉=>顺序处理语句;

WHEN 〈条件取值〉=>顺序处理语句;

WHEN 〈条件取值〉=>顺序处理语句;

WHEN OTHERS =>顺序处理语句;

END CASE;

上面的〈条件取值〉有三种格式可选

条件表达式取值

条件表达式取值|条件表达式取值|条件表达式取值|

条件表达式取值TO 条件表达式取值

5)LOOP循环语句

LOOP语句与其他高级编程语言中的循环语句一样,可以使程序进行有规律的循环,循环的次数受迭代算法的控制,一个LOOP语句可包含要重复执行的一组顺序语句,它可以执行多次或是零次。

LOOP格式

[LOOP 标号:] [重复模式]LOOP

〈顺序处理语句〉;

END LOOP [LOOP标号];

重复模式有两种,FOR模式和WHILE模式。

FOR模式的LOOP语句格式:

[LOOP标号:] FOR 循环变量IN 离散范围LOOP

〈顺序处理语句〉;

END LOOP [LOOP标号];

WHILE模式的LOOP语句格式:

[LOOP标号:] WHILE〈条件〉LOOP

〈顺序处理语句〉;

END LOOP[LOOP标号];

6)NEXT和EXIT语句

这两种语句都是用于跳出LOOP循环的,NEXT语句是用来跳出本次循环的,而EXIT语句是用于跳出全部循环的。

格式

NEXT或EXIT [LOOP标号] [WHEN条件]

7)NULL空操作语句,书写格式为“NULL;”,唯一的作用是使程序流程运行到下一个语句,常用于CASE语句当中

8)RETURN语句

用在一段子程序结束后,用来返回到主程序的控制语句,一般情况之下,有两种书写格式,分别是

RETURN;—只能用于进程返回

RETURN 表达式;——只能用于函数返回

在实际的应用中,一般的VHDL综合工具要求函数中只能包含一个RETURN,并规定这条RETURN语句只能写在函数末尾,但一些VHDL综合工具允许函数中出现多个RETURN语句。

9)ASSERT断言语句

主要用于程序仿真、调试中的人机对话,它可以给出一个文字串作为警告和错误信息,基本书写格式如下:

ASSERT〈条件〉

REPORT〈输出信号〉——字符串

SEVERITY〈错误级别〉;——有四种NOTE、WARNING、ERROR和FAILURE

如果程序在仿真或调试过程中出现问题,断方语句就会给出一个文字串作为提示信息,当程序执行到断言语句时,就会对ASSERT条件表达式进行判断,如果返回值为TRUE则断言

语句不做任何操作,程序向下执行,如果返回值为FALSE,则输出指定的提示信息和出错级别。

断言语句可以分为顺序断言语句和并行断言语句。

10)REPORT语句

报告语句是93版VHDL标准提供的一种新的顺序语句,该语句没有增加任何功能,只是提供了某些形式的顺序断言语句的短格式,也算是ASSERT语句的一个精简,格式如下:REPORT 〈输出信息〉[SEVERITY〈出错级别〉]

3.2并行语句

并行语句在结构体中的执行都是同时进行的,即它们的执行顺序与语句的书写无关,这种并行性是由硬件本身并行性决定的,即一旦电路接通电路,它的各部分就会按照事先设计好的方案同时工作,VHDL有六种并行语句

1)并行信号赋值语句

信号赋值语句相当于一个进程(用于单个信号赋值)的简化形式,用在结构体中并行执行,信号赋值语句提供了三种赋值方式,用来代替进程可令程序代码大大简化。

[注:这里要注意,信号赋值语句在顺序语句里面也有,顺序语句里可以给信号赋值也可以给变量赋值,而顺序语句里只能对变量说明,不能对信号说明;并行语句刚好相反。]

[思考:什么变量不能在并行语句里面说明呢?为什么信号不能在顺序语句里面说明呢?因为信号是全局的,变量是局部的,用来保存中间变量的]

赋值方式一

并发信号赋值语句,格式“信号名<=表达式”,等效于进程语句,表达式中的信号就是进程语句中的敏感激励信号(注:进程必须含有敏感激励信号,请看下面章节介绍)

赋值方式二

条件信号赋值语句,格式如下

目标信号<=表达式1 WHEN 条件1 ELSE

表达式2 WHEN 条件2 ELSE

表达式3 WHEN 条件3 ELSE

表达式4

注:条件赋值语句与IF语句不同之处

1、以上条件赋值语句不能进行嵌套,而IF是可以的

2、由于条件信号赋值语句是并行语句,必须用在结构体中的进程之外(进程是用顺序语句来编写的),而IF是顺序语句

3、条件信号赋值语句ELSE是必须有的,而IF可没有

4、条件信号赋值语句与实际的硬件电路十分接近,因此使用该语句要求设计人员具有硬件电路知识,而IF一般用来进行硬件电路的高级描述,它不要求太多的硬件电路知识。

5、一般情况下很少用条件赋值语句,只有当用进程语句、IF语句和CASE语句难以对路进行描述时才用

赋值方式三

选择信号赋值语句,格式如下

WITH 选择条件表达式SELECT

目标信号<=信号表达式1 WITH 选择条件1

信号表达式2 WITH 选择条件2

信号表达式3 WITH 选择条件3

信号表达式4 WITH OTHERS

注:选择信号赋值语句是一种并行语句,不能在结构体中的进程内部使用

2)块语句

在VHDL语言设计中,块语句常常用来对比较复杂的结构体作结构化描述,格式如下[块标号:] BLOCK [卫式表达式]

[类属子句;]

[端口子句;]

[块说明部分;]

BEGIN

<块语句说明部分;>

END BLOCK[块标号];

卫式表达式:是一个布尔条件表达式,只有当这个表达式为TURE时,BLOCK语句才被执行;

类属子句:块的属性说明

块说明部分:用于定义USE、子程序、数据类型、子类型、常量、信号和元件

块语句说明部分:用于描述块的具体功能,可以包含结构块中的任何并行语句结构。

注:块语句的作用就是将一个大的结构划成一块一块小的结构。

3)进程语句

进程语句是一种应用广泛的并行语句,一个结构体中可以包括一个或者多个进程语句,结构体中的进程语句是并发关系,即各个进程是同时处理的、并行执行的;但在第一个进程语句结构中,组成进程的各个语句都是顺序执行,在进程语句中是不能用并行语句的。

格式

[进程标号:]PROCESS [敏感信号表] [IS]

[进程语句说明部分;]

BEGIN

<顺序语句部分>

END PROCESS[进程标号];

注:

1、敏感信号表列出了进程语句敏感的所有信号,每当其中的一个信号发生变化时,就会引起其他语句的执行,如果敏感信号表不写,那么在PROCESS里面必须有WAIT语句,由WAIT语句来产生对信号的敏感;而当敏感信号表存在时,就不能在PROCESS里再有WAIT 语句;

2、IS可有可无,是由93版规定的

3、进程语句说明部分是进程语句的一个说明区,它主要用来定义进程语句所需要的局部数据环境,包括数据类型说明、子程序说明和变量说明。

4、进程语句有两种存在状态,一是等待,当敏感信号没有发生变化时;一是执行,当敏感信号变化时。

4)子程序调用语句

子程序分为函数和过程,它们的定义属于说明语句,均可在顺序语句和并行语句里面使用,它们的调用方法不一样。

函数只有一个返回值,用于赋值,可以说在信号赋值的时候就是对函数的调用;

过程有很多个返回值,用于进行处理,准确的来说子程序调用语句就是过程调用语句。

5)参数传递语句

参数传递语句即在实体中定义的GENERIC,可以描述不由材料和不同工艺构成的相同元件或模块的性能参数(如延时),在定义了GENERIC的实体叫参数化实体,由参数化实体形成的元件在例化时具有很大的适应性,在不同的环境下,只须用GENERIC MAP来修改参数就可以了,使用时,在对元件例化时加在里面就可,比如已经定义了一个AND2的实体,要在EXAMPLE里面使用AND2,要先对AND2进行元件声明,再将AND2例化,如下:u0:AND2 GENERIC MAP(参数值1,参数值2)

PORT MAP(参数表)

6)元件例化语句

一个实体就相当于元件,元件名就相当于实体名,元件要实现的功能在实体里面就已经描述好,比如,同一个文件夹下已经有一个名为A.VHD的文件,如果要在另一个文件B.VHD 里面用到A.VHD里面定义的功能,那么可以在B.VHD文件里面通过元件声明和元件例化来调用A这个元件,总的来说调用元件过程就是“建立元件----元件声明------元件例化”,元件调用时不用USE语句的,这和调用程序或类据不同。

[注:元件声明语句属说明语句,不是同步语句,以下对元声的说明是为了更好地了解元件的调用,元件的实例化之前必须要有元件声明]

元件声明语句格式

COMPONENT <元件名> ——元件名就是文件名,即是实体名

[GENERIC <参数说明>;] ——这就是所产的元件参数

PORT<端口说明>;

END COMPONENT;

元件例化格式:

元件符:元件名GENERIC MAP (参数表)

PORT MAP(端口表)

7)生成语句

生成语句通常又称为GENERATE语句,它是一种可以建立重复结构或者是在多个模块的表示形式之间进行选择的语句,格式如下:

[生成语句标号:] < 模式选择>GENERATE

< 并行处理语句>;

END GENERATE [生成语句标号];

模式选择有两种,一是FOR模式,一是IF模式。

FOR模式生成语句

[生成语句标号:] FOR 循环变量IN 离散范围GENERATE

<并行处理语句>;

END GENERATE[生成语句标号];

IF模式生成语句

[生成语句标号:] IF <条件>GENERATE

<并行处理语句>;

END GENERATE[生成语句标号];

8)并行断言语句

前面已经说过顺序断言语句,这里的断言语句是并行的,可以放在实体说明、结构体和块语句中使用,可以放在任何要观察和调试的点上,而顺序断言语句只能在进程、函数和过程中

使用。其实断言语句的顺序使用格式和并行使用格式是一样的,因此断言语句是可以应用在任何场所的,格式请看顺序的说明。

思考:

1、是不是所有的VHDL语句都可以归结为顺序语句和并行语句呢?那么子程序定义是顺序的还是并行的呢?由上面的学习可以知道,子程序可以在三个地方(程序包、结构体、进程)中进行定义,而子程序在没有调用之前是不参与执行的,由此可知子程序的定义是属于说明语句,还有元件的说明也属于说明语句,这个不用多说。因此,可以这样对VHDL语句进行归类,三大类顺序语句、并行语句和说明语句,这三类语句的关系是顺语句可以用在并行语句和说明语句当中,说明语句可以用在并行语句当中,而并行语句是不能用在其他语句当中,可以说并行语句属于一种高级形态,是语句的最终形态。

2、子程序分为函数和过程,子程序的调用即可以用在顺序语句中,也可以用并行语句中,用在顺序语句(进程或者子程序)中就叫顺序调用语句;在并行语句(位于进程或子程序的外部)中就叫做并行调用语句,并行调用语句在结构体中是并行执行的。

3、区分信号与变量,信号是全局的,要在并行语句里面说明;变量是局部的;要在顺序语句里面说明;赋值格式不一样;赋值方式不一样,变量是即时赋值的,信号的赋值要到最后才生效的;使用地方不一样,信号可以在并行语句里使用也可在顺序语句里使用,而变量只能在顺序语句里使用

4、区分过程和函数,过程可以具有多个返回值(准确来说不是返回值,而是这些信号在过程之中被改变),函数只有一个返回值;过程通常用来定义一个算法,而函数用来产生一个具有特定意义的值;过程中的形式参数可以有三种通信模式(输入、输出、双向),而函数中的形参只能是输入通信模式(因为函数是用来产生一个值的);过程中可以使用赋值语句或WAIT语句,而函数不可(因为过程是用来处理的)

5、为什么信号不可以在顺序语句里面进行说明呢?是因为信号是全局变量。为什么变量不可以在并行语句里面进行说明呢?是因为变量只是对暂时数据进行局部的存储,只是一个局部的变量。

6、信号分为两种:一是外部信号(输出输入信号),即在实体中定义的IN、OUT、INOUT、BUFFER和LINKAGE;一是内部信号(连线信号),即在程序包、实体、结构体中说明的SIGNAL,用于元件与元件连接起来。

7、CASE语句、条件信号赋值语句和选择赋值语句的结构有点相似,要注意它们的书写格式。

英语语言学树形图举例

树形图详细讲解 1. Indicate the category of each word in the following sentences. a) The old lady suddenly left. Det A N Qual V b) The car stopped at the end of the road. Det N V P Det N P Det N c) The snow might have blocked the road. Det N Aux Aux V Det N d) He never appears quite mature. N Qual V Deg A 2. The following phrases include a head, a complement, and a specifier. Draw the appropriate tree structure for each. a) full of people AP A P N full of people b) a story about a sentimental girl NP NP PP Det N P NP Det A N a story about a sentimental girl c) often read detective stories VP Qual V NP A N often read detective stories d) the argument against the proposals NP NP PP Det N P NP

e) move towards the window VP V PP P Det N move towards the window 3. Draw phrase structure trees for each of the following sentences. a) The jet landed. InflP(=S) NP Infl VP Det N Pst V The jet landed b) Mary became very ill. InflP(=S) NP Infl VP N Pst V AP Deg A Mary became very ill c) What will you talk about? CP NP C S N Infl NP Infl VP VP NP V P N d) The apple might hit the man. S NP VP Det N Aux V NP Det N The apple might hit the man

语言学重点概念总结

Design features(定义特征): the distinctive features of human language that essentially make human language distinguishable from languages of animals. Synchronic(共时的): said of an approach that studies language at a theoretical “point” in time. Diachronic(历时的): said of the study of development of language and languages over time. Prescriptive(规定式): to make an authoritarian statement about the correctness of a particular use of language. Descriptive(描写式): to make an objective and systematic account of the patterns and use of a language or variety. Competence(语言能力): unconscious knowledge of the system of grammatical rules in a language. 对于一门语言的语法规则系统的无意识获得的知识。Performance(语言运用):

the language actually used by people in speaking or writing. 人们说话写作时实际使用的语言。 Langue(语言): the language system shared by a “speech community”. 一个“语言社团”共有的语言系统。 Parole(言语): the concrete utterances of a speaker. 说话人实际说的话语。 Phonology(音系学): the study of the sound patterns and sound systems of language. It aims to discover the principles that govern the way sounds are organized in languages, and to explain the variations that occur. International Phonetic Alphabet(国际音标): a set of standard phonetic symbols in the form of a chart (the IPA chart), designed by the International Phonetic Association since 1888. It has been revised from time to time to include

英语语言学词汇汇总

现代语言学术语总结 A abbreviation缩写法 acculturation语言文化移入 acoustic phonetics声学语言学 acronym词首字母缩略词 address term称谓语 addresser发话人 addressee受话人 adjacency毗邻 Adjacency Condition毗邻条件 Adjacency Parameter毗邻参数 Affix词缀 Affixation加词缀法 Affricate塞擦音 Afroasiatic非亚语系 agreement rule一致关系规则 allophone音位变体 alveolar齿龈音 alveolus齿龈 angular gyrus角形脑回 antonymy反义现象 antonym反以词 apocope词尾音脱落 aphasia失语症 aphasic失语症患者 applied linguistics应用语言学arbitrariness任意性 argument论元 articulatory phonetics发音语音学articulatory variable发音变项 aspiration送气 assimilation同化 approximation近似化 auditory phonetics听觉语音学Austronesian…语系 B Babbling咿呀学语 back-formation逆向构词法 back vowel后元音 Behaviorism行为主义 Behaviorist learning theory行为主义学习理论Behaviorist Psychology行为主义心理学

语言学概论重点总结

语言学概论重点总结 一、名词解释(4*6=24) 1、孤立语:孤立语又称词根语,其特点是缺乏形态变化,语法意义主要靠词序和虚词来表示,汉语就是一种比较典型的孤立语。例如,“我喜欢他”中的“我”是主语,“他”是宾语,主要取决于词序。又如,“买菜”是述宾结构,“买的菜”是偏正结构,主要取决于虚词。汉语缺乏严格意义上的形态变化,一个名词性词语无论是充当句子的主语还是宾语,词性都不曾发生变化。除了汉语外,属孤立语的还有越南语、彝语、苗语、缅甸语等。 2、屈折语:屈折语的特点是通过各种屈折方式来表示语法意义。屈折可以分为内部屈折和外部屈折。内部屈折指通过词的语音交替(改变部分语音)来构成不同的语法形式,表示不同的语法意义;如阿拉伯语以固定的辅音框架表示语汇意义,以元音交替表示不同的语法意义。 外部屈折指通过附加词缀的方式表示不同的语法意义。例如英语的book(书,单数)在后面加上词缀-s,就表示复数意义books(书,复数)。 屈折语的一个构型词缀可以同时表示几种语法意义,例如英语的-s在动词后面表示第三人称、单数、现在时、主动语态,如works. 同样的语法意义,在不同的词里也可以用不同的词缀来表示,如俄语中性名词单数主格的词尾有-o(如neop钢笔),-e(noπe田地)。 屈折语的词根和构型词缀结合得很紧,如果去掉构型词缀,词根往往就不能成词,如俄语nepo去掉词尾-o,nep-就不能独立使用。 印欧语系各语言以及阿拉伯语等,一般都属于屈折语,其中俄语和德语是最典型的屈折语。 3、音位:是某种语言中能区别语素或词的语音形式的最小语音单位,是依据语音的社会属性划分出来的语音类别。 音位本身并不含有任何意义。音位只有在和别的音位组合成高一层级的单位后才能负载意义。如单个的/k/和/ae/或/t/是没有什么意义的,但是他们组合成了[caet](cat)之后就有了“猫”的意义。因此,音位只有区别音形进而区别意义的作用,而没有表达意义的作用。 音位分析的目的是要把语言里数目繁多的语言归纳为数目有限的一套音位系统,分析音位的三基本原则是:对立、互补、语音相似。 音位可以分为音质音位和非音质音位两大类。一般把音素的音质角度分析归并出来的音位叫音质音位,因为它占有一个时段,所以又叫音段音位。除了音质以外,音高、音强、音长这些非音质形式也能区别词的语音形式,由这些韵律(音律)形式构成的音位叫非音质音位。又叫超音段音位。包括调位、重位、时位。 调位:主要由音高特征构成的音位叫调位,又叫声调。调位是汉藏语系诸语言中区别词的语言形式的重要手段之一。 重位:主要由音强特征构成的音位叫重位。重音在英语、俄语中是区别词的语音形式和词义的重要手段。构成重位的非音质特征是综合的。 时位:由音长特征构成的音位叫时位。长短音的区别主要表现在元音上,如广东话和许多少数民族语言里,时位都有区别词的语音形式和意义的作用。 4、语流音变就是指在连续的语流中某些语音成分受前后语音环境的影响而发生变化的现象。有时说话人由于种种原因调整语音的快慢、高低、强弱,也会造成语音的某种变化。

(完整版)语言学组合与聚合总结

一、组合关系和和聚合关系的提出 组合关系和聚合关系是索绪尔提出来的现代语言学结构主义的一个重要原理。 组合关系就是两个同一性质的结构单位按照线性的顺序组合起来的关系。简单地说,就是符号与符号相互组合起来的关系。例如"学习外语","学习"与"外语"组合,形成述宾关系。 聚合关系就是语言结构某一位置上能够互相替换的具有某种相同作用的单位之间的关系,简单说就是符号与符号之间的替换关系。例如"学习外语",其中"学习"可以用"研究"替换。 语言的组合关系说明了语言结构的规则,语言的聚合关系说明了替换规则。 二、语音的组合与聚合关系 语音中的每一个音素(音位),它总是处在相邻的音素之间。通过音素组合关系的研究,建立起来音位。 例如:北京话有几个与[a]发音比较接近的元音,从音质角度看,它们是不同的音,它们分别为[a]、[ɑ]、[A]、[ε]。[a]只出现在i和n 的前面,如bai 、ai、ban、an等;[ɑ]只出现在u和ng的前面,如gao、yang、ao、gang等;[A]只出现在音节的最后,如jia、hua、ba 等;。根据这些组合规律我们就可以把它们归纳成为一个音位[a]。汉语拼音方案就是按音位设计的。

聚合关系是出现在一定组合中相同位置上的各语言成分所具有的类聚会同的关系。聚合关系是在对立互补原则的基础上建立的,我们把能够出现在一个音节开头的那个辅音归成一类,那就是声母。声母之间是聚合关系。如有的辅音只出现在i和ü前面,像j、q、x,我们把这三个辅音看作是一个小的类聚,它们在发音上有共同的特点称为舌面音。其他如舌尖前音、舌尖中音、舌尖后音、双唇音、舌根音等类聚。现代汉语中的辅音大部分有双向的聚合。我们可以看下面的z c s 、zh ch sh、j q x。 从可以看到,横向的三个辅音也分别是一种聚合,第一行是舌尖前音的聚合,第二行是舌尖后音的聚合,第三行是舌面音的聚合;同样纵向的三个音也是一种聚合,第一列的三个音是不送气塞擦音的聚合,第二列是送气塞擦音的聚合,第三列是擦音的聚合。 三、词(语素)的组合关系和聚合关系 语素是语言中最小的音义结合体,或者说是最小的语言单位。在语言中,语素不可再分,因为切分语素得到的是音素。语素和语素可组合成词语。 语素的组合和聚合不像语音那样有严整的规律。语素的组合有许多制约的因素。语素组合比较有规律的是一些虚语素,即词缀。如现代汉语中的“阿”总是和表人的语素组合在一起,构成“阿公、阿婆阿Q、阿姨”等。与此类似的还有,例如:子——矮子、才子、担子、胆子、桌子。

英语语言学树形图举例word精品

树形图详细讲解 1. In dicate the category of each word in the follow ing senten ces. a) The old lady sudde nly left. Det A N Qual V b) The car stopped at the end of the road. Det N V P Det N P Det N c) The snow might have blocked the road. Det N Aux Aux V Det N d) He n ever appears quite mature. N Qual V Deg A 2. The following phrases include a head, a complement, and a specifier. Draw the appropriate tree structure for each. b) a story about a sen time ntal girl NP Det A N a story about a sen time ntal girl c) ofte n read detective stories Qual V NP ofte n read detective stories d) the argume nt aga inst the proposals NP NP a) full of people AP full of people NP PP VP Det N

八 Det N the argume nt aga inst the proposals

简明英语语言学学习重点总结

一、定义 1.语言学Linguistics Linguistics is generally defined as the scientific study of language. 2.普通语言学General Linguistics The study of language as a whole is often called General linguistics. 3.语言language Language is a system of arbitrary vocal symbols used for human communication. 语言是人类用来交际的任意性的有声符号体系。 4.识别特征Design Features It refers to the defining poperties of human language that distinguish it from any animal system of communication. 语言识别特征是指人类语言区别与其他任何动物的交际体系的限定性特征。 Arbitrariness任意性 Productivity多产性 Duality双重性 Displacement移位性 Cultural transmission文化传递 ⑴arbitrariness There is no logical connection between meanings and sounds. the arbitrary nature of language is a sign of sophistication and it

语言学心得

语义研究历史分期中的语文学时期 专业:英美文学学号:20140601012 姓名:任雪娇 自1897年法国学者Michael Breal(布雷尔,1832-1915)发表的《语义学探索》以来,语义学作为语言学的一门独立学科宣告成立。此后,哲学家、逻辑学家、社会学家、语言学家们就开始注意语义研究。那么,首先,什么是语义学呢?语义学又叫词义学,它是以词的内容为研究对象的学科,它的任务是研究词义的性质,词义的发展、演变以及词语词义之间的种种关系,并揭示词义的一般规律。 而我今天将要对语言学时期中的一个时期——传统语言学时期,也就是语文学时期的发展与演变做一个简要的梳理。 (一)语文学时期的四个阶段 在欧洲大陆,语文学时期指的是整个18世纪以前的语言研究。同时,在这一时期,语言学家及哲学家们对文学作品尤其是古希腊--罗马时代的文学作品也进行学术研究,这包括以文学文献为基础的文化研究。从历史上看,“语文学时期”是一个生机勃勃的历史时期,它包括一下几个阶段:古希腊罗马时期、中世纪、文艺复兴时期、现代时期的前夕。 古希腊罗马时期 首先,我们都知道欧洲语言学始于希腊。而古希腊罗马时期的语文学主要是在哲学框架内进行的,因此对语言的本质问题、词源问题、修辞问题以及语音、语法等问题都进行了认真的探讨和研究。在这一时期出现了多种语言学流派,例如:斯多葛学派、亚历山大学派等等。中世纪时期 语法是中世纪学术语言的基础,也是七艺(语法、雄辩术、修辞学、音乐、几何、算术、天文)之一。对于语言学历史来说,中世纪的后半期,也就是我们称为“经院哲学时期”具有很重要的意义。经院哲学非常重视语言学研究,进行了大量的研究工作。在它兴盛时期的研究成果很多,比如说思辨语法(思辨语法是语言学理论发展的一个明确而特殊的阶段,它受到当时基督信仰的加强,同时又反过来加强了基督信仰,它把人类知识所有的分支和学科都统一在它的体系里,是理性的主张与宗教的信仰可以在这一体系内和谐一致。) 文艺复兴时期 文艺复兴运动可以看作是一场发源于14世纪的意大利,然后向外扩展,特别是在欧洲向北扩展的运动。文艺复兴时期学术方面最重要的部分--从意大利开始的对古拉丁语和古希腊语的研究得到了恢复。文艺复兴时期的语法家中,最著名的是彼得罗·拉穆斯,他被称为现代结构主义的先驱。他积极提倡用人文主义方法教授古典语言,主张通过文学作品,而不是通过经院哲学的亚里士多德学说进行教学。 现代时期前夕 到18世纪,欧洲语言学发生了巨大的变化,语言起源问题在那时已不是“哪种语言最古老”或者“上帝用什么语言跟亚当说话”而是对语言最终发展为现代如此有力和灵活的交际系统所处的条件,以及所经过的发展阶段,进行带有更多人类学性质探讨。这一时期出现的威廉·冯·洪堡特就是至今也很著名的语言学家,他认为语言能力具有普遍性,还认为没种语言都是历史的产物,但作为思维和工具的模式,有些语言则比别的语言发达的多。 经验主义 语言学研究方法的不同源自哲学领域的思想纷争。理性主义和经验主义是哲学领域的量大思潮,多个世纪以来一直深深地影响着语言学研究方法。经验主义认为经验来自感官对外间事物的感觉,其带有唯物主义倾向,同时,经验主义也包含着神学唯心主义的因素。著名语言学家曾说过“面对语言的多样性和复杂性,经验主义学派强调各种语言的特殊变化,根据日

语言学重点概念总结

Desig n features (定义特征): the disti nctive features of huma n Ian guage that esse ntially make huma n Ian guage disti nguishable from Ian guages of ani mals. Synchronic (共时的): said of an approach that studies Ianguage at a theoretical point "in time. Diachro nic (历时的): said of the study of developme nt of Ian guage and Ian guages over time. Prescriptive (规定式): to make an authoritaria n stateme nt about the correct ness of a particular use of Ian guage. Descriptive (描写式): to make an objective and systematic acco unt of the patter ns and use of a Ian guage or variety. Compete nee (语言能力): uncon scious kno wledge of the system of grammatical rules in a Ian guage. 对于一门语言的语法规则系统的无意识获得的知识。 Performa nee (语言运用): the Ianguage actually used by people in speaking or writing. 人们说话写作时实际使用的语言。 Langue (语言): the Ianguage system shared by a speech community ” 一个“语言社团”共有的语言系统。 Parole (言语): the con crete uttera nces of a speaker. 说话人实际说的话语。 Pho no logy (音系学): the study of the sound patter ns and sound systems of Ian guage. It aims to discover the principles that govern the way sounds are organized in Ianguages, and to explain the variati ons that occur. Intern ati onal Phon etic Alphabet (国际音标) a set of standard phonetic symbols in the form of a chart (the IPA chart), designed by the Intern ati onal Phon etic Associati on si nee 1888. It has bee n revised from time to time to include new discoveries and changes in phonetic theory and practice. Cardi nal Vowels (基本元音): a set of vowel qualities arbitrarily defined, fixed and unchanging, intended to provide a frame of reference for the descriptio n of the actual vowels of exist ing Ian guages.

英语教学中的任务型语言教学初探

英语教学中的任务型语言教学初探 初次打开根据新课标要求编写的英语课本,看着这图文并茂的对话,令人耳目一新。但我们却不知道从哪儿下手,不知道该怎么备课,也不知道先讲什么,后讲什么,甚至还出现了自己不认识的单词和表达法,更不知道什么叫任务型课堂教学设计,什么样的任务才算“任务”。2005年暑假,邵武市教师进修学校的英语教研员组织全市城乡英语教师进行新一轮新课标培训,展开了学习活动,观看了课堂实录。通过参与活动,我树立了全新的课堂教学设计理念,并在教学实践中不断探索。英语课程标准明确要求,英语教学应以学生的发展为宗旨,以培养学生的创新精神和实践能力为重点;要充分发挥学生在学习过程中的主动性和积极性,激发学生的学习兴趣;营造宽松、和谐的学习氛围;使学生敢于开口,乐于实践;对学生进行思想品德教育,培养学生的思维能力,发展学生用英语获取信息、处理信息的能力;培养学生良好的英语学习心理素质和终身学习的能力。“任务型语言教学”正是以英语课程标准为原则,以人为本,培养学生的性格,发展学生的语言能力。 在使用“任务型语言教学”的过程中,我改变了以往传统的教师讲,学生记,一支粉笔,一张嘴,教师从头讲到尾教学模式。始终遵循着“任务型语言教学”的原则,即语言学习是为了用而学——在用中学——学用结合。由于任务型学习是双边或多边的交互式活动,学生的直接参与则能体现出人与人之间的交流信息、交换意见、沟通感情,建立良好的人际关系等特征。因此,在课堂活动中,我观察到学生要想完成老师设计的任务,无论是小组活动还是双人活动,他们需要张口说,需要用脑想,需要动手写或画,需要请教老师,更需要询问同伴,所有这些活动中学生总是在运用语言完成任务的过程中加深对所学语言材料的理解,而且学生根据任务需要还能产生新的语言,并与他人进行交流。 教材所设计的话题是非常贴近学生生活的,这就为他们“愿意说,有话说”奠定了良好的基础。因此,我在设计活动时,就以学生的生活经验和兴趣为出发点,内容和方式尽量真实。活动设计还尽量考虑有利于学生学习英语知识,发展语言技能,从而提高实际语言运用能力。在这半年的教学实践中,就进行课堂教学任务设计时,我尤其注重了以下几个方面: 一、任务设计的目的要明确 任务设计必须有明确的目的,要避免为了表现任务而去设计任务。在完成任务过程中,学生要能用到所学的知识和技能。设计任务一定要有助于学生理解基础知识,发展

语言学总结

In chapter seven,I learn about the relationship between language and culture 、London School、Linguists in North Americian、Malinowski Guinea 、SAPIR-WHOF HYPOTHES and sociolinguistics. At the first,I learn the relationshp between language and culture.(1)Language is an essential and importantpart of a given culture ;the impact of culture upon a given language is something intrinsic and indispensable.(2)Culture is a wider syatem that completely includes language as a subsystem.The relation of language to culture is that of part to whole.(3)Language both expresses and embodies cultural reality.(4)Language plays a major role in perpetuating culture in part form.(5)Culture affects language. Secondly,,I understand how language relates culture.At the beginning of the eighteenth century,they think that language is either comparative and historical or structural and formalized in https://www.360docs.net/doc/671364932.html,ter, at the start of the 20th century ,the study of language was developed in England and North America.In the 1920s ,a school of ANTHROPOLOTICAL STUDY OF LINGUISTICS came into being in England.The meaning of a word greatly depended upon its occurrence in a given context,for example “wood’’.Malinowski created the theory of CONTEXT OF SITUA TION,and J.R.Firth developed the theory of CONTEXT OF SITUATION. M.A.K Halliday further developed this theory,who is the founder of systemic-functional linguistics. Tirdly,I learn a very influential and controversial theory about the relationships between language and culture .Benjamin Lee Whorf is famous in hypothesis concerning language,thought,and culture.His famous theory SAPIR-WHORF HYPOTHSES was developed with the help of his experience and his study of Hopi ,an American Indian language.What this hypothesis suggests is this :Our language helps mould our way of thinking and,consequently,different language may probably express speakers’ unique ways of understanding the world.Due to the theory SAPIR-WHORF HYPOTHSES,two important points came into the world.one is LINGUISTIC DETERMINISM,and the other is LINGUISTIC RELATIVITY.LINGUISTIC DETERMINISM means that language may determine our thinking patterns,and LINGUISTIC RELATIVITY means that similarity between languages is https://www.360docs.net/doc/671364932.html,ter,,Sapir-Whorf hypothesis have been developed,a strong version and a weak version.The strong version of the theory refers to the claim the original hypothesis makes ,emphasizing the decisive role of language as the shaper of our thinking patterns.The weak

语言学第七章知识点总结

语言学第七章知识点总结 Language is an essential part of a given culture. It is an indispensable carrier of culture. It is regarded as a mirror of society. In primitive culture, the meaning of a word greatly depended on its occurrence in a given context. Firth-Context of Situation -illustrate the close relationship between language use and its co-occurrence factors. 1.The relevant features of the participants, persons, and personalities The verbal action of the participants; the non-verbal action of the participant 2.The relevant objects 3.The effects of the verbal action Speech Community It refers to a group of people share the same rules of speaking and one linguistic variety as well. Sapir-Whorf Hypothesis Our language helps mould our way of thinking. Different languages may probably express speakers' unique ways of understanding the world. https://www.360docs.net/doc/671364932.html,nguage may determine our thinking patterns

语言学重点总结

Chapter 6 Cognition is the mental process or faculty of knowing, including aspects such as awareness, perception, reasoning, and judgment. There exist three approaches to the study of language and cognition: the formal approach, the psychological approach and the conceptual approach. The Formal approach basically addresses the structural patterns exhibited by the overt aspect of linguistic forms, largely abstracted away from or regarded as autonomous from any associated meaning. The Psychological approach looks at language from the perspective of relatively general cognitive systems ranging from perception, memory, and attention to reasoning. The conceptual approach is concerned with the patterns in which and the processes by which conceptual content is organized in language. Structure will be used to refer both to patterns and to process, the conceptual approach can more simply be said to address how language structures conceptual content. Psycholinguistics is the study of psychological aspects of language; it usually studies the psychological states and mental activities associated with the use of language. Six aspects of research within psycholinguistics: ①Acquisition ②Comprehension ③Production ④Disorders ⑤Language and thought ⑥Neurocognition Language acquisition: ①Holophrastic stage ②Two-word stage ③Stage of three-word utterances ④Fluent grammatical conversation stage Connectionism in psycholinguistics claims that readers use the same system of links between spelling units and sound units to generate pronunciations of written words like tove and to access the pronunciations

教你如何画语言学树型图

树形图详细讲解 1、 Indicate the category of each word in the following sentences、 a) The old lady suddenly left、 Det A N Qual V b) The car stopped at the end of the road、 Det N V P Det N P Det N c) The snow might have blocked the road、 Det N Aux Aux V Det N d) He never appears quite mature、 N Qual V Deg A 2、 The following phrases include a head, a plement, and a specifier、 Draw the appropriate tree structure for each、 a) full of people AP A P N full of people b) a story about a sentimental girl NP NP PP Det N P NP Det A N a story about a sentimental girl c) often read detective stories VP Qual V NP A N often read detective stories d) the argument against the proposals NP NP PP Det N P NP Det N the argument against the proposals e) move towards the window VP

语言学考试要点(考试重点整理)

Chapter 1 Introduction 1. What is linguistics? Linguistics is generally defined as the scientific study of language. 2.The scope of linguistics: (1 ). phonetics 语音学;phonology 音位学;morphology 形态学;syntax 句法学; pragmatics 语用学 (2). sociolinguistics 社会语言学;psycholinguistics 心理语言学; applied linguistics应用语言学 3.Some important distinction in linguistics (1)Descriptive vs. prescriptive 描述性与规定性 ①If a linguistics study aims to describe and analyze the language people actually use, it is said to be descriptive; ②If the linguistics study aims to lay down rules for” correct and standard” behavior in using language, i.e. to tell people what they should say and what they should not say, it is said to be prescriptive. (2)Synchronic vs. diachronic 共时性与历时性 ①A synchronic description takes a fixed instant (usually, but not necessarily, the present) as its point of observation. Most grammars are of this kind. ②Diachronic linguistics is the study of a language through the course of its history. (2)Langue & parole 语言与会话 ①Language refers to the abstract linguistic system shared by all the members of a speech community. ②Parole refers to the realization of language in actual use. (4)Competence and performance 语言能力与语言运用 ①A language user's unconscious knowledge about the system of rules is called his linguistic competence. ②Performance refers to the actual use of language in concrete situations. (5)speech and writing 语言与文字 Speech and writing are the two major media of communication. (6)traditional grammar and modern linguistic 传统语法与现代语言学 4.Definition of language: Language is a system of arbitrary vocal symbols used for human communication. Language is a system, i.e., elements of language are combined according to rules. Language is arbitrary in the sense that there is no intrinsic connection between a linguistics symbol and what the symbol stands. Language is vocal because the primary medium for all languages is sound. The term “human” in the definition is meant to specify that language is human-specific. 5.Design features of language 6.(1) Arbitrariness 任意性refers to the forms of linguistic signs bear no natural relationship to their meaning. (sounds and meanings) (2) Productivity(creativity)能产性Language is productive in that it makes possible the construction and interpretation of new signals by its users. (3) duality双重性The property of having two levels of structures, such that units of the

相关文档
最新文档