数字逻辑实验报告

数字逻辑实验报告
数字逻辑实验报告

数字逻辑实验报告

实验一器件认知及基本逻辑门逻辑功能测试

一、实验目的

1. 认知逻辑器件的外形和引脚的排列。

2.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。

3.熟悉TTL中、小规模集成电路的使用方法。

4. 对逻辑器件的逻辑功能进行测试和验证。

5. 掌握"Dais数字电路实验系统”仪器的使用方法。

二、实验所用器件和设备

1.二输入四与非门74LS00 1片

2.二输入四或非门74LS28 1片

3. 二输入四异或门74LS86 1片

4.Dais数字电路实验系统1台

5.万用表1个

三、实验内容

1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

2. 测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。

3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。

四、实验提示.

1. 将被测器件插人实验台上的集成块插座中。

2.将器件的引脚7与“地(GND)”连接,将器件的14引脚与+5V连接。

3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平为“0”或为“1”。

4. 将被测器件的输出引脚与实验台上的电平指示灯(即发光二极管)连接。指示灯亮表示输出电平为“1”,指示灯灭表示输出电平为“0”。

五、实验报告要求

1.画出三个实验的接线图。

2.用真值表表示出实验结果。

实验二用全与非门构成全加器

一、实验目的

1. 掌握全加器的逻辑功能和真值表。

2.掌握用全与非门构成全加器的方法。

二、实验所用器件和设备

1. 二输入四与非门74LS00 2片

2.三输入三与非门74LS10 1片

3.六反相器74LS04 1片

4. Dais数字电路实验系统1台

三.实验内容

1.画出全加器的电路图。

2.全与非门构成全加器,并搭出电路。

四.实验提示

二输入四与非门74LS00 中的任一个与非门二输入端连在一起时,此与非门即可当成非门使用。

五.实验报告要求

1.用真值表形式说明全加器的功能。

2.画出用全与非门构成的全加器的电路图。

实验三三态门实验

一、实验目的

I.掌握三态门逻辑功能和使用方法。

2.掌握用三态门构成总线的特点和方法。

二、实验所用器件和设备

1.四2输入正与非门74LS00 1片

2.三态输出的四总线缓冲门74LS125 1片

3.万用表l个

4.Dais数字电路实验系统1台

三、实验内容

1.74LS125三态门的输出负载为74LS00一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

2.74LS125三态门的输出负载为74LS00一个与非门输入端,74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

3.用74LS125两个三态门输出构成一条总线。使两个控制端—个为低电平,另一个为高电平。一个三态门的输入接1MHz信号,另一个三态门的输入接500KHz信号。用万用表测三态门的输出。

四、实验提示

1. 三态门74LS125的控制端c为低电平有效。

2.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。

五.实验报告要求

1.画出实验的逻辑电路图。

2.写出每个实验的实验现象。

3.分析实验1和实验2中三态门输出电压不同的原因。

实验四数据选择器和译码器

一、实验目的

1.熟悉数据选择器的逻辑功能

2.熟悉译码器的逻辑功能,

二、实验所用器件和设备

1.双4选1数据选择器74LS153 1片

2. 双2-4线译码器74LSl39 1片

3.万用表1个

4.Dais数字电路实验系统1台

三. 实验内容

1.测试74LS153中一个4选1数据选择器的逻辑功能。

4个数据输入引脚CO—C3分别接实验台上的10MHz,1MHz,500KHz,100KHz脉冲源。

变化数据选择引脚A,B的电平和使能引脚G的电平,产生8种不同的组合。观测每种组合下数据选择器的输出波形。

2.测试74LS139中—个2—4译码器的逻辑功能。

4个译码输出引脚Y0~Y3接电平指示灯。改变引脚G,B,A的电平,产生8种组合。观测并记录指示灯的显示状态.

四.实验报告要求

1.画出实验接线图。

2.根据实验结果写出74LS139的真值表。

3.根据实验结果写出74LS153的真值表。

4.分析74LS139和74LS153中引脚G的功能。

实验五触发器

一、实验目的

1.掌握RS触发器、D触发器、JK触发器的工作原理,

2.学会正确使用RS触发器、D触发器、JK触发器。

二、实验所用器件和设备

l 四2输入正与非门74LS00 l片

2.双D触发器74LS74 1片

3.双JK触发器74LS73 1片

4.Dais数字电路实验系统1台

三、实验内容

1.用74LS00构成一个RS触发器,R,S端接电平开关输出,Q端接电平指示灯。改变R,S的电平,观测并记录Q端的值。

2.双D触发器74LS74中一个触发器的功能测试。

(1)将CLR(复位),PR(置位)引脚接实验台电平开关输出,Q引脚接电平指示灯。改变CLR,PR的电平,观察并记录Q的值。

(2)在(1)的基础上,置CLR,PR引脚为高电平,D(数据)引脚接电平开关输出,CP(时钟)引脚接单脉冲,在D为高电平和低电平的情况,分别按单脉冲按钮,观察Q的值,记录下来。

4.制定对双JK触发器74LS73一个JK触发器的测试方案,并进行测试。

四、实验提示

74LS73引脚11是GND,引脚4是Vcc。

五、实验报告要求

1 画出实验内容1的原理图,写出其真值表。

2.写出实验内容2各步的现象,按如下形式写出实验内容2的真值表。

表中的“X”用高电平H,或者低电平L,或者保持不变代替。

3.写出双JK触发器74LS73中一个触发器的功能测试方案,及每步测试出现的

现象。

参照上表形式写出该JK触发器真值表。

实验六简单时序电路

一、实验目的

掌握简单时序电路的分析、设计、测试方法。

二、实验所用器件和设备

1.双JK触发器74LS73 2片

2.双D触发器74LS74 2片

3.四2输入与非门74LS00 1片

4. Dais数字电路实验系统1台

三、实验内容

1双D构成的二进制计数器(分频器)

(1)按图12.6接线。

(2)将Q0,Q1,Q2,Q3复位。

(3)由时钟输入单脉冲,测试并记录Q0,Q1,Q2,Q3的状态。

(4)由时钟输入连续脉冲,观测Q0,Q1,Q2,Q3的波形。2.用2片74LS73构成一个二进制计数器,重做内容1的实验。

3.异步十进制计数器

(1)按图12. 7构成一个十进制计数器。

(2)将QO,Q1,Q2,Q3复位。

(3)由时钟端CLK输入单脉冲,测试并记录Q00,Ql,Q2,Q3的状态。

(4)由时钟端CLK输入连续脉冲,观测Q0,Ql,Q2,Q3的状态。

4.自循环寄存器

(1)用双D触发器74LS74构成一个4位自循环寄存器。方法是第1级的Q端接第

2 级的D端,依次类推,最后第4级的Q端接第1级的D端。4个D触发器的CLK 端连接在一起,然后接单脉冲时钟。

(2)将触发器Q0置1。Q1、Q2、Q3清0。按单脉冲按钮,观察并记录Q0,Q1,Q2,Q3的值。

四、实验提示

1.74LS73引脚11是GND,引脚4是Vcc。

2.触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发。

五、实验报告要求

1.写出实验内容1中,用单脉冲做计数脉冲时,Q3,Q2,Q1,Q0的状态转移表。画出连续计数时钟下Q0,Q1,Q2和Q3的波形图。

2.Q0,Q1,Q2,Q3构成计数器吗?如果是计数器,那么是递增还是递减?

3.画出实验内容2的电路图。

4.写出实验内容3中,用单脉冲作计数脉冲时,Q3,Q2,Ql,Q0的状态转移表。画出连续计数时钟下Q0,Ql,Q2和Q3的波形图。

5.画出实验内容4的电路图。写出单脉冲做计数脉冲时,Q3,Q2、Q1,Qo的状态转移表。

实验七计数器

一、实验目的

1.掌握计数器74LSl62的功能。

2.掌握计数器的级连方法

3. 熟悉任意模计数器的构成方法。

4. 熟悉数码管的使用。

二.实验说明

计数器器件是应用较广的器件之一。它有很多型号,各自完成不同的功能,供使用中根据不同的需要选用。本实验选用74LS162作实验用器件。74LS162引脚图见附录。

74LS162是十进制BCD同步计数器。Clock是时钟输入端,上升沿触发计数触发器翻转。

允许端P和T均为高电平时允许计数,允许端T为低电平时禁止进位Carry产生。同步预置端Load加低电平时,在下一个时钟的上升沿将计数器置为预置数据端的值。清除端Clear为同步清除,低电平有效,在下—个时钟的上升沿将计数器复位为o。在计数值等于9时,74LS162进位位Carry为高,脉宽是1个时钟周期,可用于级联。

三、实验所用器件和设备

1.同步4位BCD计数器74LS162 2片

2.二输入四与非门74LS00 1片

3. Dais数字电路实验系统1台

四、实验内容

1.用1片74LS162和1片74LS00采用复位法构—个模7计数器。用单脉冲做计数时钟,观测计数状态,并记录。用连续脉冲做计数时钟,观测并记录QD,QC,QB,QA的波形。

2.用1片74LS162和1片74LS00采用置位法构一个模7计数器。用单脉冲做计数时钟,观测计数状态,并记录。用连续脉冲做计数时钟,观测并记录QD,QC,QB,QA的波形。

3.用2片74LS162和1片74LS00构成一个模60计数器。2片74LS162和QD,QC,QB,QA,分别接两个数码管的D,B,C,A。用单脉冲做计数时钟,观测数码管数字的变化,检验设计和接线是否正确。

五、实验报告要求

1.画出复位法构成的模7计数器的电路图,写出单脉冲做计数脉冲时,QD,QC,QB,QA的状态转移表。画出连续计数脉冲下QD,QC,QB,QA波形图。

2.画出置位法构成的模7计数器的电路图,写出单脉冲做计数脉冲时,QD,Qc,QB,QA的状态转移表。画出连续计数脉冲下QD,QC,QB,QA波形图。

综合实验一交通灯实验

注:需先自学ABEL语言

一、实验目的

1.掌握状态机的设计、调试。

2.掌握用ABEL语言设计状态机:

3.熟悉Svnario软件的使用方法。

4.熟悉ISP器件的使用。

二、实验所用器件和设备

1.PLCC封装的ISP1016或者M4-64/32 1片

2.示波器1台

3.万用表1个

4.Dais数字电路实验系统1台

三、实验内容

以实验台上的4个红色电子指示灯、4个绿色电平指示灯和4个黄色电平指示灯模仿路口的东、西、南、北4个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭:

1.初始状态为4个方向的红灯全亮。时间1秒。

2.东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车。时间5秒。3.东、西方向黄灯闪烁,南、北方向红灯亮。时间2秒。

4.东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车。时间5秒。5.东、西方向红灯亮,南、北方向黄灯闪烁。时间2秒。

6.返回2,继续运行。

7.如果发生紧急事件,例如救护车、警车通过,则按下单脉冲按钮,使得东、西、南、北四个方向红灯亮。紧急事件结束后,松开单脉冲按钮,恢复到被打断的状态继续运行。

四、实验提示

1.这是一个典型的时序状态机,一共有6个大的状态。

2.黄灯闪烁可通过连续亮o.2秒、灭o.2秒实现。

3.将实验台上的可调频率时钟调为lkHz,作为设计中的初始时钟,以减少需要的分频数。

5.紧急事件发生时,要注意保存必要的信息,以备紧急事件结束后,恢复到原状态继续运行使用。

五、实验报告要求

1. 写出交通灯设计方案,注释要详细。

2.写出调试过程中发生的问题和解决办法。

3. 简要叙述状态机设计的特点。

综合实验二电子钟

注:需先自学ABEL语言

一、实验目的

1.掌握较复杂逻辑的设计、调试。

2.学习用原理图设计数字逻辑电路。

3.学习数字电路模块层次设计。

4.学习Synarlo软件的使用方法。

5.熟悉ISP器件的使用。

二、实验所用器件和设备

1.PLCC封装的ISPl016或者M4-64/32 l片

2.示波器1台

3.万用表1个

4.Dais数字电路实验系统1台

三、实验内容

1 .设计并用ISP1016,或者M4-64/32实现一个电子钟。电子钟具有下述功能:

(1)实验台上的6个数码管显示时、分、秒。

(2)能使电子钟复位(清零)。

(3)能启动或者停止电子钟运行。

(4)在电子钟停上运行状态下,能够修改时、分、秒的值。

(5)具有报时功能,整点时喇叭呜叫。

2.要求整个设计分为若干模块。顶层模块用原理图设计,低层模块用ABEL语言设计。

3.在Dais实验台上调试设计。

四、实验报告要求

1.用原理图和ABEL语言描述整个设计。

2. 写出调试中出现的问题及解决办法:

3.写出模块层次设计的体会。

4.比较原理图和ABEL设计的特点。

学习数电心得体会

学习数电心得体会 篇一:学习数字电路之心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下,到底学了哪些东西呢如果不看书的话,真有点记不住学习内容的先后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,

都是一些基础的东西,没有多大的难度,学习起来也相对轻松。 第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

基于Libero的数字逻辑设计仿真和验证实验报告(实验1)

实验报告 1、基本门电路 一、实验目的 1、熟悉EDA工具的使用;仿真基本门电路。掌握基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。基本门电路的程序烧录及验证。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、掌握Libero软件的使用方法。 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86相应的设计、综合及仿真。 4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个 ....)的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、所有 ..模块及测试平台代码清单 //74HC00代码-与非门 // module HC00(A,B,Y); input [4:1]A,B;

assign Y=~(A&B); endmodule //74HC00测试平台代码 // `timescale 1ns/1ns module testbench(); reg [4:1]a,b; wire [4:1]y; HC00 u1(a,b,y); initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; end endmodule //74HC02代码-或非门 // module HC02(A,B,Y);

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数电仿真实验报告

实验一:组合逻辑电路设计与分析 一、实验目的 (1)掌握组合逻辑电路的特点; (2)利用组合逻辑转换仪对组合逻辑电路进行分析。 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻的输入信号的取值组合。根据电路的特定功能,分析组合逻辑电路的过程。 三、实验电路及步骤 (1)利用逻辑转换仪对已知电路进行分析 实验连接图如下: U1A 74LS136D U1B 74LS136D U1C 74LS136D U2A 74LS04D U2B 74LS04D U2C 74LS04D XLC1 A B 真值表和逻辑表达式如下: (2)根据要求利用逻辑转换仪进行逻辑电路分析。 问题的提出:火灾报警器只有在烟感、温感和紫外线三种不同类型的火灾探测器中两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号。

四、思考题 (1)设计一个四人表决电路。如果3人或者3人以上同意,则通过;反之,则被否决。用与非门实现。 (2)利用逻辑转换仪对下图所示逻辑电路进行分析 五、实验体会

实验二:编码器、译码器电路仿真实验 一、 实验目的 (1)掌握编码器、译码器的工作原理。 (2)常见编码器、译码器的作用。 二、 实验原理 数字信号不仅可以用来表示数,还可以用来表示各种指令和信息。通过编码和译码来实现。 (1)编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。能完成编码功能的电路统称为编码器。 (2)译码是编码的逆过程,将输入的每个二进制代码赋予的含义翻译出来,给出相应的输出信号。 U1 74LS148D A 0 9 A 17A 26G S 14 D 313D 41D 52D 212D 111D 0 10 D 74D 63 E I 5E O 15 U2 74LS138D Y 0 15 Y 114Y 213Y 312Y 411Y 510Y 69Y 77A 1 B 2 C 3G 1 6~G 2A 4~G 2B 5 图2-1 编码器74LS148D 和译码器74LS138D 三、实验电路 (1)8-3线优先编码器 实验电路图如下:

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

数字逻辑实验报告(数字时钟设计)

数字逻辑实验报告

实验三、综合实验电路 一、实验目的: 通过一个综合性实验项目的设计与实现,进一步加深理论教学与实验软硬件平台的实践训练,为设计性实验做好充分准备。 二、实验原理: 根据要求的简单设计性的电路设计实验,应用基本器件与MSI按照电路设计步骤搭建出初级电路;设计型、综合型的较复杂实验电路 三、实验设备与器件: 主机与实验箱 四、实验内容: (1)实验任务:根据所学习的器件,按照电路开发步骤搭建一个时钟, 要求实现的基本功能有计时功能、校对时间功能、整点报时、秒表等功能。 (2)实验任务分析:完成该数字时钟,采用同步时序电路,对于计时 的的功能,由于时间的秒分时的进位分别是60、60、24,所以可以应用74LS163计数器分别设计2个模60计数器以及一个模24计数器,那么需要有7个秒输出,7个分输出,6个小时的输出;对于校对时间的功能,由74LS163的特性可知,当该器件处于工作状态时,每来一个CLK脉冲,计数值加1,所以可以手动控制给CLK脉冲,来进行时间的校对;对于整点报时功能,可以采用一个比较电路,当时间的分秒数值全部为零时,那么此时可以接通报时装置,可以在电路中设置报时的的时间;对于秒表功能,有两种方案,可以单独重新设计一个秒表装置,采用模100计数器以及两个模60计数器,可以进行优化,使用原先的两个模60计数器,这样可以简化电路,是电路简洁。 (3)实验设计流程:

(4)输入输出表: (5)各个功能模块的实现: A、计时功能模块的实现(电路图及说明)秒表部分及说明

说明:该部分是实现功能正常计时中的秒部分的计时工作。如图所示,图中采用两个74LS163来做一个模60计时器,计数的起止范围是0~59,(第一个74LS163采用模10计数,起止为0~9,第二个74LS163的计数起止范围是0~5),两个器件采用级联方式,用预置位方法实现跳转;该部分有7个秒输出,接到BCD译码显示器。 注解:第一个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平VCC 第二个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平第一个163的预置位段 分钟部分以及说明:

数字逻辑实验报告模板

实验名称: 数字逻辑实 验 系 别: 年 级: 专 业: 班 级: 学 号: 姓 名: 成 绩: 任课教师: 2016 年 5 月 21 日 试验一门电路逻辑功能及测试

1、实验目的 1、熟悉门电路逻辑功能 2、熟悉数字逻辑学习机及示波器的使用 2、实验仪器及材料 器件: 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 3、预习要求 1、复习门电路工作原理及相应的逻辑表达式 2、熟悉所用集成电路的引线位置及各引线用途 3、了解双踪示波器使用方法 4、实验内容 实验前先检查机器电源是否正常。 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入电路板,连线后根据芯片图检测门电路功能是否正确。 (2)将电平开关按表1.1置位,分别测出输出电压及逻辑状态。 表1.1 输入输出 1234Y H H H H L H H H L L H H L L L H

L L L L 2、 异或门逻辑功能测试 (1)二输入四异或门电路74LS86,按图1.2接线,输入端1,2,4,5接电平开关,输出端A、B、y接电平显示发光二 极管。 (2)将电平开关按表1.2置位,将结果填入表中。 输入 输出 A B Y L L H L H H H H H H L H L L L L L L H L H H L H 3、逻辑电路的逻辑关系 (1)用74LS00按图1.3,1.4接线,将输入输出的逻辑关系分别填入表1.3,1.4中。

(2)写出上面两个电路逻辑表达式。 5、思考 怎样判断门电路逻辑功能是否正常?

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

数电课程设计心得(精选多篇)

数电课程设计心得(精选多篇) 第一篇:数电课程设计心得 1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb 连接图,和芯片上的选择。这个方案总共使用了74ls248 ,cd4510 各两个,74ls04 ,74ls08 ,74ls20 ,74ls74 ,ne555 定时器各一个。 2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。 4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。 通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。 社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。 而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字逻辑实验报告2(电子钟20190418物联网本)_模板

数字逻辑实验报告(2) 数字逻辑实验2 多功能电子钟系统设计成绩 评语:(包含:预习报告内容、实验过程、实验结果及分析) 教师签名 姓名: 学号: 班级:物联网1701 指导教师:徐有青 计算机科学与技术学院 20 年月日

数字逻辑实验报告 多功能电子钟系统设计实验报告

多功能电子钟系统设计 1、实验名称 多功能电子钟系统设计。 2、实验目的 要求同学采用传统电路的设计方法,对一个“设计场景”进行逻辑电路的设计,并利用工具软件,例如,“logisim”软件的虚拟仿真来验证电子钟电路系统的设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握小型电路系统的设计、仿真、调试方法以及电路模块封装的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 设计场景:多功能数字钟是一种用数字显示秒、分、时的计时装置,当前从小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟无处不在。 多功能数字钟的基本功能如下: (1)显示时、分、秒; (2)可以采用24小时制或12小时制(上午和下午); (3)整点报时,整点前10秒开始,整点时结束; (4)单独对“时、分”计时校准,对分钟值校准时最大分钟值不向小时值进位; (5)闹钟10秒提醒。 使用logisim软件对你设计电子钟电路进行虚拟仿真验证,具体要求如下。 (采用logisim软件提供的“时钟频率”为8hz的信号源)

(1) 具有校准计数值功能的六十进制计数器电路 采用“四位二进制可逆计数器”这个“私有”元件和相应元器件,设计一个具有对计数值进行校准的六十进制计数器,并封装,该计数器逻辑符号参见图2-1所示。 图2-1 校准计数值的60进制计数器 六十进制计数器的输入输出引脚定义如下: (a )一个清零端Clr ; (b )一个累加计数脉冲输入端CP U ; (c )一个累减计数脉冲输入端CP D ; (d )八个计数器状态输出值Q 1D Q 1C Q 1B Q 1A Q 0D Q 0C Q 0B Q 0A ,采用8421码分别表示计数器状态的十位和个位; (e )一个计数值校准输入控制信号Adj ,当Adj 为“1”时通过CP U 对计数值进行加计数或校准,Adj 为“0”时通过CP D 对计数值进行减计数校准(由于受“四位二进制可逆计数器”约束),CP D 可以对计数值的十位或个位进行递减校准(递减的时候不需要循环,回到0即可); (f )每当计数累计满60产生一个进位输出信号Qcc 。 计数器的状态请采用“十六进制的数字显示器”显示。 (2)具有校准计数值的十二进制计数器或二十四进制的计数器电路 采用“四位二进制可逆计数器”这个“私有”元件和相应元器件,设计一个具有对计数值进行校准的十二进制计数器或二十四进制的计数器,并封装,该计数器逻辑符号参见图2-2所示。

相关文档
最新文档