数电实验1

数电实验1
数电实验1

数字电路实验仿真12电气05班刘丰华2024054 6.1用与非门组成或非电路:Y=(A+B)’=A’B’=(A’B’)’’

6.2用与非门组成异或电路:Y=AB’+A’B=( ((A(AB)’)’(B(AB)’)’ )’

6.3用与非门实现:F=AC+BC+AB=( ( (AC)’(BC)’)’’(AB)’ )’

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验二:简易计算器(实验报告)

数电实验2实验报告 1、设计修改方案 (1)加入编码器连接4选一数据选择器,控制进行运算的种类 (2)修改了输出端数据选择器的程序,使得当计算器没有任何输入时,结果显示保持为0,并且利用芯片自身的灭零管脚,让显示结果中,当十位为零时,十 位的零不显示。

2、实验数据及分析 (1)修改后电路图(附后) (2)仿真波形 设置输入2个4位二进制数为0110(十进制6)和0010(十进制2),计算方式控制SW[3:0]设为0111,即模拟除法操作,加入时钟信号。 ①模拟除法波形: 可以看到十位(商)的数码管显示中,1、2、3、4、7段亮,显示为数字3,而个位(余数)显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6除2商3余0。满足计算要求。 ②模拟乘法波形:(SW[3:0]设为1011,其他输入同上)

可以看到个位的数码管显示中,1、4、5、6段亮,显示为C(化为十进制为12),而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6乘2等于0C,即等于12。当改变输入4和2是,显示结果为8,。满足计算要求。 ③模拟加法波形:(SW[3:0]设为1101,其他输入同上) 可以看到个位的数码管显示中,1、2、3、4、5、6、7段全亮,显示为数字8,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6加2等于08,即等于8。满足计算要求。 ④模拟减法波形:(SW[3:0]设为1110,其他输入同上) 可以看到个位的数码管显示中,2、3、6、7段亮,显示为数字3,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6减2等于03,即等于3。满足计算要求。 从上面加减乘除四种功能运算的波形仿真可以看出,本实验设计能够正确完成对输入数字的上述四种运算。满足题目要求。

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

数字电子技术实验B

数字电子技术实验B(16学时) 实验一、仪器仪表的使用和门电路功能测试(3学时)2小时30分钟 实验内容: 1.实验箱和示波器的使用; 2.TTL与非门74LS20的功能测试; 3.TTL异或门74LS86的功能测试; 4.用TTL三态门74LS125实现三路信号分时传送的总线结构。 内容参考实验教材35页。 实验二、EDA实验(3学时)2小时30分钟 实验内容: 组合逻辑电路的设计: 1.用与非门实现四舍五入判别电路; 2.设计一个表决电路,当控制端M=0时,输入端A、B、C一致同意时,输出F为1,否 则输出为0;当控制端M=1时,输入端A、B、C多数同意时,输出F为1,否则输出为0。要求用3线—8线译码器74LS138和必要的门电路实现。 3.设计一个四位数值比较器,要求用集成数值比较器74LS85实现。 EDA仿真软件为Multisim2001,使用说明见实验教材86页。 实验三、触发器功能测试(3学时)2小时30分钟 实验内容: 1.集成双JK触发器74LS76的功能测试; 2.双D触发器CD4013的动态测试; 3.三人智力抢答电路,要求用双D触发器CD4013和与门74LS08来实现。 内容参考实验教材41页。 实验四、时序电路的设计(5学时)4小时10分钟 实验内容: 1.用十进制计数器74LS160(一片)和3线—8线译码器74LS138(一片)和必要的门电路设计一个灯光控制逻辑电路(内容详见理论教材173页6-42); 2.用74LS194(两片)和74LS04(一片)构成环形8个彩灯控制电路。 内容参考实验教材43页。 实验五、实验课考试(2学时)1小时 实验内容: 考试1人1桌,每组30人,时间为1小时。 说明: 1.每次实验必须事先做好预习,写出预习报告,否责不许进实验室。 2.实验二上课地点为良乡理学楼B—404,其余实验上课地点均为良乡理学楼B—207。

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数电实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数电实验第二次实验报告

实验二数据选择器应用 学号161271008 一、实验目的: 1.通过实验的方法学习数据选择器的电路结构和特点。 2.掌握数据选择器的逻辑功能和它的测试。 3.掌握数据选择器的基本应用。 二、实验仪器: 三、实验原理: 1.数据选择器 数据选择器(multiplexer)又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据传输中选择任何一路信号输出,选择的控制由专列的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 本实验采用的逻辑器件为TTL 双极型数字集成逻辑电路74LS153,它有两个4 选1,外形为双列直插,引脚排列如图2-1 所示,逻辑符号如图2-2 所示。其中D0、D1、D2、D3 为数据输入端,Q 为输出端,A0、A1 为数据选择器的控制端(地址码),同时控制两个选择器的数据输出,S 为工作状态控制端(使能端),74LS153 的功能表见表2-1。 数据选择器有一个特别重要的功能就是可以实现逻辑函数。现设逻辑函数F(X,Y)=∑(1,2),则可用一个4 选1 完成,根据数据选择器的定义:Q (A1,A0)=A1A0D0+ A1A0D1+ A1A0D2+ A1A0D3,令A1=X,A0=Y,1S=0,1D0=1D3=0,1D1=1D2=1,那么输出Q=F。如果逻辑函数的输入变量数超过了数据选择器的地址控制端位数,则必须进行逻辑函数

降维或者集成芯片扩展。例如用一块74LS153 实现一个一位全加器,因为一位全加器的逻辑函数表达式是: S1(A,B,CI)=∑(1,2,4,7) CO(A,B,CI)=∑(3,5,6,7) 现设定A1=A,A0=B,CI 为图记变量,输出1Q=S1,2Q=CI,由卡诺图(见图2-3,图2-4)得到数据输入: 1D0=CI,1D1=CI,1D2=CI,1D3=CI,2D0=0,2D1=CI,2D1=CI,2D3=1,由此构成逻辑电路. 需要指出的是用数据选择器实现逻辑函数的方法不是唯一的,当逻辑函数的输入变量数较多时,可比较多种方法取其最优实现。 四、实验内容: 1.验证74LS153 的逻辑功能按表2-1 所列测试,特别注意所测芯A1、A0 哪一个是高位S 端是否低电平有效当芯片封锁时,出是什么电平。 记录:

数电实验三综述

湘潭大学实验报告 课程名称数学逻辑与数字电路实验名称时序电路实验——计数器和移位寄存器_ 页数 6 专业计算机科学与技术班级_ 二班_ 学号2014551442 姓名肖尧实验日期_ 2016/5/14_ 一、实验目的 1.验证同步十六位计数器的功能。 2.设计一个8位双向移位寄存器,理解移位寄存器的工作原理,掌握串入/并出端口控制的描述方法。 3.进一步熟悉Quartus II的Verilog HDL文本设计流程,掌握组合电路的设计仿真和硬件测试。 4.初步掌握Quartus II基于LPM宏模块的设计流程与方法,并由此引出基于LPM模块的许多其他实用数学系统的自动设计技术。 二、实验要求 1.用Quartus II的Verilog HDL进行计数器的设计与仿真 2.用LPM宏模块设计计数器。 3.用Quartus II的Verilog HDL进行8位双向移位寄存器设计 4.在实验系统上进行硬件测试,验证这两个设计的功能。 5.写出实验报告。 三、实验原理 计数器能记忆脉冲的个数,主要用于定时、分频、产生节拍脉冲及进行数字运算等。加法计数器每输入一个CP脉冲,加法计数器的计数值加1.十六进制计数即从0000一直计数到1111;当计数到1111时,若再来一个CP脉冲,则回到0000,同时产生进位1。 同步十六进制计数器设计采用if-else语句对计数器的输出分别进行赋值,能实现对输入脉冲的计数,并具有使能和异步清零功能。 移位寄存器不仅具有存储代码的功能,而且在移位脉冲作用下,还有左移、右移等功能。设计一个8位二进制双向移位寄存器,能实现数据保持、右移、左移、并行置入和并行输出等功能。移位寄存器有三种输入方式:8位并行输入、1位左移串行输入、1位右移串行输入;有一种输出方式:8位并行输出。双向移位寄存器工作过程如下: (1)当1位数据从左移串行输入端输入时,首先进入内部寄存器最高位,并在并行输出口最高位输出,后由同步时钟的上升沿触发向左移位。 (2)当1位数据从右移串行输入端输入时,首先进入内部寄存器最低位,并在并行输出口最低位输出,后由同步时钟的上升沿触发向右移位。 四、实验内容 1.利用Quartus II完成计数器、8位双向移位寄存器的文本编辑输入和仿真测试,给出仿真波形。 2. 用LPM宏模块设计计数器 3.给他们进行引脚锁定,然后硬件下载测试。 五、实验环境与设备 Quartus II以及进行硬件测试的实验箱。 六、实验代码设计(含符号说明)

数电实验实验报告

[键入文档标题] 实验一组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001

A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。 三.元件参考 依次为74LS283、 74LS00、74LS51、 74LS136 其中74LS51:Y= (AB+CD)’, 74LS136: Y=A⊕B(OC门)四.实验内容 1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟) 半加器 被加数A i0 1 0 1 0 1 0 1 加数B i0 0 1 1 0 0 1 1 前级进位C i-10 0 0 0 1 1 1 1 和S i0 1 1 0 1 0 0 1

数电实验二组合逻辑电路

数电实验二组合逻辑电路 The following text is amended on 12 November 2020.

实验二 组合逻辑电路 一、实验目的 1.掌握组和逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及器件 1.仪器:数字电路学习机 2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、实验内容 1.组合逻辑电路功能测试 (1).用2片74LS00按图连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 (2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示 (3).按表要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。 (4).将运算结果与实验比较。 Y1=A+B ,C B B A Y +=2 2.测试用异或门(74LS86)和与非门组成的半加器的 逻辑功能。 根据半加器的逻辑表达式可知,半加器Y 是A 、B 的 异或,而进位Z 是A 、B 相与,故半加器可用一个集成异 或门和二个与非门组成,如图。 (1).用异或门和与非门接成以上电路。输入A 、B 接 电平开关,输出Y 、Z 接电平显示。 (2).按表要求改变A 、B 状态,填 表。 3.测试全加器的逻辑功能。 (1).写出图电路的逻辑表达式。 (2).根据逻辑表达式列真值表。 (3).根据真值表画逻辑函数SiCi 的卡诺图。 (4).连接电路,测量并填写表各输入 输出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 输入 输出 A B Y Z 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A i B i C i-1 Y Z X 1 X 2 X 3 S i C i 0 0 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 0 1 1 0 1

数电实验报告

河 北 科 技 大 学 实 验 报 告 级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 高观望 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师 一、实验目的 (1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。 (2)熟悉三态门的逻辑功能及用途。 (3)掌握TTL 、CMOS 电路逻辑功能的测试方法。 二、实验仪器与元器件 (1)直流稳压电源 1台 (2)集成电路 74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门 1片 三、实验内容及步骤 1.常用集成门电路逻辑功能的测试 在数字实验板上找到双列直插式集成芯片74LS00和74LS86。按图进行连线。测试各电路的逻辑功能,并将输出结果记入表中。 门电路测试结果 2.测试与或非门74S64的逻辑功能 在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。 真值表 Y Y &

3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路 按图接线,按表测试电路的逻辑功能。根据测得的真值表,写出输出Y的逻辑表达式。 真值表 逻辑表达式:Y=AB (2)用与非门组成异或门电路 按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。 真值表 逻辑表达式:B A Y ⊕= 4.三态门测试 (1)三态门逻辑功能测试 三态门选用 74LS125将测试结果记入表中。 (2)按图接线。将测试结果记录表中。 真值表

数电实验内容

实验一组合逻辑电路的设计 一、实验目的 1、掌握组合逻辑电路的设计和测试方法。 2、掌握半加器、全加器的逻辑功能。 3、通过功能验证锻炼解决实际问题的能力。 二、实验主要仪器设备 1、万用表 2、集成芯片:74LS00、74LS08 三、实验原理 1、设计组合逻辑电路的一般步骤:设计要求→逻辑状态表→逻辑表达式→简化逻辑表 达式→逻辑图。 通常,设计组合逻辑电路按下述步骤进行。其流程图如。 (1)列真值表。设计的要求一般是用文字来描述的。设计者很难由文字描述的逻辑命题直接写出逻辑函数表达式。由于真值表在四种逻辑函数表示方法中,表示逻辑功能最为直观,故设计的第一步为列真值表。首先,对命题的因果关系进行分析,“因”为输入,“果”为输出,即“因”为逻辑变量,“果”为逻辑函数。其次,对逻辑变量赋值,即用逻辑0和逻辑1分别表示两种不同状态。最后,对命题的逻辑关系进行分析,确定有几个输入,几个输出,按逻辑关系列出真值表。 (2)由真值表写出逻辑函数表达式。 (3)对逻辑函数进行化简。若由真值表写出的逻辑函数表达式不最简,应利用公式法或卡诺图法进行逻辑函数化简,得出最简式。如果对所用器件有要求,还需将最简式转换成相应的形式。 (4)按最简式画出逻辑电路图。 图3.4.1 组合逻辑电路设计流程图 2、用74LS00和74LS86组成半加器电路。要求按设计要求步骤进行,直到测试电路逻 辑功能符合设计要求为止。 3、用74LS00和74LS86组成全加器电路。要求按设计要求步骤进行,直到测试电路逻 辑功能符合设计要求为止。 四、预习要求 1、复习组合逻辑电路的设计方法。 2、熟悉本实验所用各种集成电路的型号及引脚号。 3、根据实验内容所给定的设计命题要求,按设计步骤写出真值表、输出函数表达式并

数电实验答案

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25)

班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇 实验一门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL逻辑门电路的参数意义。 2.掌握各种TTL门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD—4数字电路实验箱,数字双踪示波器,函数信号发射器,74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平

用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下: 12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下:

1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下 12 3 74LS00AN 4 5 6 74LS00AN 910 8 74LS00AN c U1A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下:

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

数字电子技术实验报告2

实验成绩实验日期指导教师批阅日期 实验名称编码译码与显示 1、实验目的 掌握编码器、译码器与显示器的工作原理、测试方法以及应用。 2、实验原理 编码器、译码器是数字系统中常用的逻辑部件,而且是一种组合逻辑电路。 1.编码器 把状态或指令等转换为与其对应的二进制代码叫编码,例如可以用四位二进制所组成的编码表示十进制数0~9,把十进制数的0编成二进制数码0000,把十进制数的5编成二进制数码0101等。完成编码工作的电路.通称为编码器。 2.译码器 译码是编码的逆过程。译码器的作用是将输入代码的原意“翻译”出来。译码器的种类较多,如:最小项译码器(3线/8线、4线/16线译码器等)b、七段字形译码器等。 七段字形译码器,其作用是将输入的四位BCD码D、C、B、A翻译成与其对应的七段字形输出信号,用于显示字形。 常用的七段字形译码器有 TTL的:T338(OC输出),74LS48、74LS248(内部带有上拉电阻) CMOS的:CD4511、MC14543、MC14547等。 3.显示器 (1)发光二极管(LED)。把电能转换成可见光(光能)的一种特殊半导体器件,其构造与普通PN 结二极管相同。 (2)LED显示器。用LED构成数字显示器件时,需将若干个LED按照数字显示的要求集成- -个图案,就构成LED显示器(俗称“数码管”)。 3、实验步骤 (1)按图连线,按表顺序给8线/3线优先编码器CD4532的信号输入端送入相应电平,将结果填入表中,与 CD4532的功能表相 对照,检查是否符 合优先顺序以及编 码结果是否正确。 注意:输入由逻辑 开关给定。输出连 接逻辑电平指示。

数电实验题目

前? 言 数字电路与逻辑设计实验作为电子、信息类专业的学科基础课,是一门重要的实践课程,具有很强的实践性。当今,现代电子技术飞速发展,电子系统设计方法、手段日新月异,众所周知,电子系统数字化已经成为电子技术和电子设计发展的必然趋势。为此,我院数字电路与逻辑设计实验课程也进行了相应的教学改革,开展了PLD、CPLD、FPGA等先进的EDA教学内容。与此同时,经过多年的实践教学总结和资料积累,我们感到要发展和应用先进电子技术,必须掌握牢固学科基础理论和基础应用,这在电子设计不断推陈出新的时代,更显得尤为重要。 本实验指导书是理论教学的延伸,旨在培养和训练学生勤奋进取、严肃认真、理论联系实际的工作作风和科学研究精神。通过本实验课,夯实数字电子技术基础理论的学习,进一步加强基本实验方法和基本实验技能的掌握,为培养锻炼学生的综合能力、创新素质打下坚实的基础。 本指导书按照教学大纲的要求编写,在前一版的基础上进行了修订,增减了部分内容,精心设计了14个典型的数字电路基础实验范例,基本涵盖了数字电路与逻辑设计课的教学内容。每个实验均给出了实验目的、预习要求、实验原理、内容、步骤和思考题,所有实验均可在纯硬件或EDA实验环境中完成。附录部分给出了实验箱的操作使用、实验中所使用到的集成电路管脚图,以及常用逻辑符号对照表,方便学生查阅。 限于编者水平有限,加之编写时间仓促,错误和疏漏之处在所难免,真诚希望各位教师和同学提出批评和改进意见。 实验一? 数字电路实验基础 一、实验目的 ⑴ 掌握实验设备的使用和操作 ⑵ 掌握数字电路实验的一般程序 ⑶ 了解数字集成电路的基本知识 二、预习要求 复习数字集成电路相关知识及与非门、或非门相关知识 三、实验器材 ⑴ 直流稳压电源、数字逻辑电路实验箱、万用表 ⑵ 74LS00、74LS02、74LS48 四、实验内容和步骤 1、实验数字集成电路的分类及特点 目前,常用的中、小规模数字集成电路主要有两类。一类是双极型的,另一类是单极型的。各类当中又有许