2015年北京邮电大学618设计理论与创作考试大纲

2015年北京邮电大学618设计理论与创作考试大纲
2015年北京邮电大学618设计理论与创作考试大纲

618设计理论与创作

第一部分 考试说明

一、考试性质

本科目面向工业设计、信息交互设计方向。主要考察考生对于《设计史》、《设计方法》、《设计概论》、《人机交互设计》等这些理论知识中基本概念与方法的掌握情况,还要考察对于这些知识的综合运用能力即创作内容。

二、考试形式与试卷结构

1.考试时间3小时,满分150分。

2.答卷方式:闭卷笔试和设计(所带用具:钢笔、铅笔HB、B各一支、色铅笔或马克笔一套)。

3.各部分内容的考试比例

1)设计概论及设计史(笔试)50分

2)人机交互设计 (笔试)50 分

3)设计方法与分析(笔试)50 分

第二部分 考试要点及题型

一、考查要点

1.设计的概念与基本原则;

2.工业设计的概念、领域;

3.设计史上的重大事件及设计风格与流派;同

4.设计中的哲学问题;

5.工业设计中的美学概念及美学要素;

6.信息时代的设计;

7.创造性思维及创造技法;

8.功能论/系统论设计思想及方法;

9.商品化设计思想及方法;

10.人性化的设计观念;

11.设计调查方法;

12.设计评价与方法(包括作品认知与分析、对设计现象的分析);

13.人机交互设计:概述、人与机(广义的)的功效因素、人体测量参数、显示器与控制器的造型设计、人的感知、心理与特征、人机界面等。

14. 现代设计的形成及发展

二、题目类型:

试卷题型及比例

1.客观题(单项选择,多项选择,填空,判断等)--占 40%左右

2.主观题(名词解释,简答题,设计题等)--占 60%左右

要求考生以自己的理解进行解答,可以有独特的视角,考察其知识面。此题考察重点在概念理解是否清晰,论证是否充分、详实,结构是否逻辑、严整。

北邮毕业设计测试题

一、单项选择题(共20道小题,共100.0分) 1. 未能按时参加答辩的学生,毕业设计状态为()。 A. 未通过 B. 缓答 C. 放弃 D. 重答 2. 关于答辩成绩,说法不正确的是()。 A. “良好”及以上成绩是申请学位的必要条件。 B. 论文和答辩成绩即为学生毕业设计的成绩。 C. 申请放弃答辩“及格”成绩,需重新参加答辩。 D. 答辩成绩录入后,学生即可知成绩了。 3. 申请“学士学位”的同学,本科毕业设计成绩的评定以()为准。 A. 论文成绩 B. 答辩成绩 C. 论文和答辩成绩 D. 论文或答辩成绩 4. 不申请“学士学位”的同学,本科毕业设计成绩的评定以()为准。 A. 论文成绩 B. 答辩成绩 C. 论文和答辩成绩 D. 论文或答辩成绩

5. 学生放弃答辩“及格”成绩申请由()上交学院。 A. 学生 B. 学习中心管理员 C. 主答教师 D. 学生家长 6. 放弃答辩“及格”成绩后,需()。 A. 重新进行毕业设计 B. 重新提交论文 C. 重新答辩 D. 交再修费后,重新答辩 7. 填写放弃答辩“及格”成绩申请表,()情况有效。 A. 答辩成绩为“优秀” B. 答辩成绩为“良好” C. 答辩成绩为“及格” D. 答辩成绩为“不及格” 8. 学生根据()答辩形式,参加答辩。 A. 中心选择的

B. 学院答辩发文安排的 C. 自己选择的 D. 导师指定的 9. 答辩的形式为()。 A. 中心自行组织 B. 网络答辩 C. 现场答辩 D. 现场或网络答辩 10. 错过论文初稿提交时间,()。 A. 可提交终稿 B. 邮件提交 C. 不可提交终稿 D. 参加下批次毕设 11. 答辩过程为()。 A. 展示论文 B. 自述论文 C. 教师提问 D. 学生自述论文后,教师提问

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

北京邮电大学计算机学与技术大三数据库第8次实验报告

北京邮电大学 实验报告 课程名称数据库系统概念 实验名称数据库事务创建与运行实验_计算机_系_302_班姓名华逸群 _计算机_系_302_班姓名魏乐业 教师_叶文吴起凡_ 成绩_________ 2013年6月5日

实验目的 通过实验,了解SQL SERVER数据库数据库系统中各类数据库事务的定义机制和基于锁的并发控制机制,掌握SQL SERVER数据库系统的事务控制机制。 实验环境 采用SQL SERVER数据库管理系统作为实验平台。其中,SQL SERVER 可以采用2005、2008及2012的企业版本等高级版本。 实验背景 多用户或者多进程并发操作数据库时必须有事务的概念,其具备ACID原则。SQL SERVER也不例外,它的事务可分成以下几种: 显式事务:以BEGIN TRANSACTION开始,COMMIT TRANSACTION结束,中间是一系列属于该事务的SQL语句。如果有错,可以用ROLLBACK TRANSACTION语句来撤销。 隐式事务:使用SET IMPLICIT_TRANSACTION ON命令,可以在本连接上开始一个隐式事务。除非显式执行COMMIT TRANSACTION或者ROLLBACK TRANSACTION,该事务不会完成。 自动提交事务:如果连接没有设置为前两种事务,则其对每一条SQL语句自动提交,即它是包含一条SQL语句的事务。 事务针对数据的修改,就是CRUD(Create、Read、Update和Delete的时候起作用。完全实现ACID原则非常困难,而实现ACID原则的方法是非常灵活的,SQL SERVER使用冗余结构,即使用事务日志来实现事务的各种功能。 1.显式执行模式:以begin transaction开始,以commit transaction、rollback transaction 结束。要注意SQL SERVER中事务不会自己检查错误,所以需要我们在事务中进行处理,写成如下形式: BEGIN TRAN BEGIN TRY 一系列SQL语句 COMMIT TRAN END TRY CATCH RAISERROR(‘Transaction Aborted’,16,1) ROLLBACK TRAN END CA TCH 2.隐式事务:略。

北邮考试大纲汇总

801通信原理 一、考试要求 要求学生熟练掌握通信理论的基本概念,掌握通信系统的基本工作原理和性能分析方法,具有较强的分析问题和解决问题的能力。 二、考试内容 1.预备知识 希尔伯特变换、解析信号、频带信号与带通系统、随机信号的功率谱分析、窄带平稳高斯过程。 2.模拟调制 DSB-SC、AM、SSB、VSB、FM的基本原理、频谱分析、抗噪声性能分析。 3.数字基带传输 数字基带信号,PAM信号的功率谱密度分析; 数字基带信号的接收,匹配滤波器,误码率分析; 码间干扰的概念,奈奎斯特准则,升余弦滚降,最佳基带系统,眼图;

均衡的基本概念,线路码型的作用和编码规则,部分响应系统,符号同步的基本概念。 4.数字信号的频带传输 信号空间及最佳接收理论,各类数字调制(包括OOK、2FSK、PSK、2DPSK,QPSK、OQPSK、MASK、MPSK、MQAM)的基本原理、频谱分析、误码性能分析,载波同步的基本概念。 5.信源及信源编码 信息熵、互信息;哈夫曼编码;量化(量化信噪比、均匀量化),A率13折线编码、TDM。 6.信道及信道容量 信道模型,信道特性及其对信号传输特性的影响;多径衰落方面的概念(平衰落和频率选择性衰落、时延扩展、相干带宽、多普勒扩展、相干时间); 信道容量(二元无记忆对称信道、AWGN信道)的分析计算;7.信道编码 信道编码的基本概念,纠错检错、汉明距离 线性分组码,循环码、CRC;

卷积码的编码和Viterbi译码; 8.扩频通信及多址通信 沃尔什码及其性质; m序列的产生及其性质,m序列的自相关特性; 扩频通信、扰码 三、试卷结构 填空题,判断题,计算题,画图题等。 802 电子电路 模拟部分 一、考试要求 要求学生系统地掌握模拟电子技术的基本概念、基本电路的工作原理和基本分析方法,并能灵活应用于实际,具有较强的分析问题与解决问题的能力。 二、考试内容 1、了解PN结及其特性;掌握常用二极管、双极型晶体管及场效应管的特性和主要参数。

北邮毕业设计测试题

一、单项选择题(共20道小题,共分) 1.申请放弃答辩“及格”成绩的学生,毕业设计状态为()。 A.未通过 B.缓答 C.放弃 D.申请重答 2.毕业设计成绩于()发布。 A.终稿审核后 B.答辩结束后 C.学院毕设总结会后 D.答辩委员总结会后 3.申请“学士学位”的同学,本科毕业设计成绩的评定以()为准。 A.论文成绩 B.答辩成绩 C.论文和答辩成绩

D.论文或答辩成绩 4.不申请“学士学位”的同学,本科毕业设计成绩的评定以()为准。 A.论文成绩 B.答辩成绩 C.论文和答辩成绩 D.论文或答辩成绩 5.放弃答辩“及格”成绩后,需()。 A.重新进行毕业设计 B.重新提交论文 C.重新答辩 D.交再修费后,重新答辩

6.填写放弃答辩“及格”成绩申请表,()情况有效。 A.答辩成绩为“优秀” B.答辩成绩为“良好” C.答辩成绩为“及格” D.答辩成绩为“不及格” 7.学生根据()答辩形式,参加答辩。 A.中心选择的 B.学院答辩发文安排的 C.自己选择的 D.导师指定的 8.答辩的形式为()。 A.中心自行组织 B.网络答辩 C.现场答辩 D.现场或网络答辩

9.对参加网络答辩的学生要求有()。 A.电子演示文档 B.音频和视频 C.回答3个以上问题 D.以上都是 10.答辩过程为()。 A.展示论文 B.自述论文 C.教师提问 D.学生自述论文后,教师提问 11.选题结束后,未选题的同学,只可参加()

A.论文提交 B.补选题 C.线下选题 D.下批次毕业设计 12.论文正文字数要求是() A.一万以上 B.8000左右 C.6000左右 D.5000 13.论文撰写过程中,遇到问题需与()沟通协商 A.家长 B.指导教师 C.校外学习中心(站点)老师 D.学院管理老师

数字逻辑课程设计(定时器)

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

课程设计实验报告 北邮

课程设计实验报告 -----物联网实验 学院:电子工程学院班级:2011211204 指导老师:赵同刚

一.物联网概念 物联网是新一代信息技术的重要组成部分。物联网的英文名称叫“The Internet of things”。顾名思义,物联网就是“物物相连的互联网”。这有两层意思:第一,物联网的核心和基础仍然是互联网,是在互联网的基础上延伸和扩展的网络;第二,其用户端延伸和扩展到了任何物体与物体之间,进行信息交换和通信。因此,物联网的定义是:通过射频识别(RFID)、红外感应器、全球定位系统、激光扫描器等信息传感设备,按约定的协议,把任何物体与互联网相连接,进行信息交换和通信,以实现对物体的智能化识别、定位、跟踪、监控和管理的一种网络。 二.物联网作用 现有成熟的主要应用包括: —检测、捕捉和识别人脸,感知人的身份; —分析运动目标(人和物)的行为,防范周界入侵; —感知人的流动,用于客流统计和分析、娱乐场所等公共场合逗留人数预警; —感知人或者物的消失、出现,用于财产保全、可疑遗留物识别等; —感知和捕捉运动中的车牌,用于非法占用公交车道的车辆车牌捕捉; —感知人群聚集状态、驾驶疲劳状态、烟雾现象等各类信息。 三.物联网无线传感(ZigBee)感知系统 ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。ZigBee在整个协议栈中处于网络层的位置,其下是由IEEE 802.15.4规范实现PHY(物理层)和MAC(媒体访问控制层),对上ZigBee提供了应用层接口。 ZigBee可以组成星形、网状、树形的网络拓扑,可用于无线传感器网络(WSN)的组网以及其他无线应用。ZigBee工作于2.4 GHz的免执照频段,可以容纳高达65 000个节点。这些节点的功耗很低,单靠2节5号电池就可以维持工作6~24个月。除此之外,它还具有很高的可靠性和安全性。这些优点使基于ZigBee的WSN广泛应用于工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。 ZigBee的基础是IEEE802.15.4,这是IEEE无线个人区域网工作组的一项标准,被称作IEEE802.15.4(ZigBee)技术标准。ZigBee不仅只是802.15.4的名字。IEEE仅处理低级MAC

北京邮电大学803计算机学科基础综合考试大纲

803计算机学科基础综合 ——此内容为零一教育为您收集整理,如需详细资料可以关注我们的微信公共号(零一计算机圈、零一职业规划) 一、考查目标 计算机学科基础综合考试涵盖数据结构、计算机组成原理、操作系统和计算机网络等学科专业基础课程。要求考生比较系统地掌握上述专业基础课程的基本概念、基本原理和基本方法,能够综合运用所学的基本原理和基本方法分析、判断和解决有关理论问题和实际问题。 二、考试形式和试卷结构 1、试卷满分及考试时间 本试卷满分为150分,考试时间为180分钟。 2、答题方式 答题方式为闭卷、笔试。 3、试卷内容结构 数据结构45分 计算机组成原理45分 操作系统35分 计算机网络25分 4、试卷题型结构 单项选择题80分(40小题,每小题2分) 综合应用题70分 三、考查内容 数据结构 【考查目标】 1、掌握数据结构的基本概念、基本原理和基本方法。 2、掌握数据的逻辑结构、存储结构及基本操作的实现,能够对算法进行基本的时间复杂度与空间复杂度的分析。 3、能够运用数据结构基本原理和方法进行问题的分析与求解,具备采用C或C++语言设计与实现算法的能力。 一、线性表 (一)线性表的定义和基本操作 (二)线性表的实现 1、顺序存储 2、链式存储 3、线性表的应用 二、栈、队列和数组 (一)栈和队列的基本概念 (二)栈和队列的顺序存储结构 (三)栈和队列的链式存储结构 (四)栈和队列的应用 (五)特殊矩阵的压缩存储 三、树与二叉树

(一)树的基本概念 (二)二叉树 1、二叉树的定义及其主要特征 2、二叉树的顺序存储结构和链式存储结构 3、二叉树的遍历 4、线索二叉树的基本概念和构造 (三)树、森林 1、树的存储结构 2、森林与二叉树的转换 3、树和森林的遍历 (四)树与二叉树的应用 1、二叉排序树 2、平衡二叉树 3、哈夫曼(Huffman)树和哈夫曼编码 四、图 (一)图的基本概念 (二)图的存储及基本操作 1、邻接矩阵法 2、邻接表法 3、邻接多重表、十字链表 (三)图的遍历 1、深度优先搜索 2、广度优先搜索 (四)图的基本应用 1、最小(代价)生成树 2、最短路径 3、拓扑排序 4、关键路径 五、查找 (一)查找的基本概念 (二)顺序查找法 (三)分块查找法 (四)折半查找法 (五)B树及其基本操作、B+树的基本概念(六)散列(Hash)表 (七)字符串模式匹配 (八)查找算法的分析及应用 六、排序 (一)排序的基本概念 (二)插入排序 1、直接插入排序 2、折半插入排序 (三)气泡排序(bubble sort) (四)简单选择排序

北京邮电大学课设 基于MSP430的简单信号发生器的设计

基于MSP430的信号发生器 设计报告 学院:电子工程学院 班级:2013211212 组员:唐卓浩(2012211069) 王旭东(2013211134) 李务雨(2013211138) 指导老师:尹露

一、摘要 信号发生器是电子实验室的基本设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全、性能指标较高,但是价格较贵,且许多功能用不上。本设计介绍一款基于MSP430G2553 单片机的信号发生器。该信号发生器虽然功能及性能指标赶不上标准信号发生器,但能满足一般的实验要求,且结构简单,成本较低。本次需要完成的任务是以MSP430 LaunchPad 的单片机为控制核心、DAC 模块作为转换与按键电路作为输入构成的一种电子产品。MSP430 LaunchPad 单片机为控制核心,能实时的进行控制;按键输入调整输出状态,DAC0832将单片机输出的数字信号转化为模拟量,经运放放大后,在示波器上输出。在本次程序设计中充分利用了单片机内部资源,涉及到了中断系统、函数调用等。 关键字:信号发生器 MSP430单片机数模转换 二、设计要求 以msp430单片机为核心,通过一个DA (数字模拟)转换芯片,将单片机输出的方波、三角波、正弦波(数字信号)转换为模拟信号输出。提供芯片:msp430G2553、DAC0832、REF102、LM384、OP07。参考框图如下: Lauchpad MSP430 电位器 按键1 DA 转换DAC0832 放大输出LM384 按键N 按键2 AD …… 图1 硬件功能框图 1、基本要求 (1) 供电电压 VDD= 5V~12V ;(√) (2) 信号频率:5~500Hz(可调);(√) (3) 输出信号电压可调范围:≥0.5*VDD ,直流偏移可调:≥0.5*VDD ;(√) (4) 完成输出信号切换;(√) (5) 方波占空比:平滑可调20%~80%;(√) (6) 通带内正弦波峰峰值稳定度误差:≤±10%(负载1K )。(√)

北邮804信号与系统考研2018年考试大纲2015-2017年考研真题试卷

北邮804信号与系统考研2018年考试大纲2015-2017年考研真题试卷 804信号与系统2018年考试大纲 一.基本要求 1、掌握典型确定性连续和离散时间信号的表示和运算方法。 2、掌握连续和离散时间系统的分析方法,系统响应的划分,系统的单位冲激(样值)响应的定义和求解,利用卷积(卷积和)求系统零状态响应的物理意义和计算方法。 3、理解信号正交分解,掌握周期信号和非周期信号的频谱及其特点、傅里叶变换及其主要性质,了解其在通信系统中的应用,熟悉连续系统的频域分析方法。 4、掌握信号的拉氏变换、性质及应用。掌握连续时间系统的复频域分析方法、连续系统的系统函数的概念和由系统函数的零极点分布分析系统的特性。 5、掌握z变换的概念、性质和应用。掌握利用z变换求解离散系统的差分方程的方法、离散系统的系统函数的概念和由系统函数的零极点分布分析系统的特性。 6、掌握信号流图的概念、系统的状态方程的建立方法,了解连续系统状态方程的求解方法。二.考试内容 1、绪论 信号与系统的概念,信号的描述、分类和典型信号 信号的运算,奇异信号,信号的分解 系统的模型及其分类,线性时不变系统,系统分析方法 2、连续时间系统的时域分析 微分方程式的建立、求解 零输入响应和零状态响应 系统的单位冲激响应 连续卷积的定义、物理意义、计算和性质 3、连续时间信号的频域分析 周期信号的傅里叶级数,典型周期信号的频谱结构,频带宽度 傅里叶变换的定义 傅里叶变换的性质 周期信号的傅里叶变换 抽样信号的傅里叶变换,时域抽样定理 4、连续时间系统的s域分析 拉氏变换的定义,收敛域,拉氏逆变换 拉氏变换的性质 复频域分析法 系统函数H(s),系统的零极点分布对系统的时域特性、因果性、稳定性和频率响应特性的影响

北邮论文题目

附件1: 毕业设计参考题目一、通信类(30个) ●×××宽带接入网规划设计 ●×××小区EPON光纤接入网规划设计方案 ●××ADSL宽带接入网设计 ●××GSM网扩容工程基站建设的规划设计 ●××SDH传输网规划设计(优化)方案 ●××TD-SCDMA室内解决方案 ●××TD-SCDMA无线网络规划/优化 ●××WCDMA无线网络规划/优化 ●××WLAN的规划设计方案 ●××本地网智能化改造及××业务的实现 ●××地区××公司关口局的设计 ●××地区××公司软交换关口局的设计 ●××地区ASON网络设计方案 ●××地区DWDM传输网络设计方案 ●××地区双向HFC接入网络的设计 ●××多媒体技术(如视频会议/VOIP/VOD等)在××地区通信网●××公司VOIP网的规划与设计 ●××光纤接入网规划设计 ●××宽带IP城域网的规划设计 ●××市××公司NGN(软交换)网络设计方案 ●××市CDMA移动通信网无线规划(优化) ●××市GSM无线网络优化 ●××市TETRA(数字集群通信系统)规划/优化 ●××无线系统(网络优化)方案设计 ●××智能业务在××本地网的实现 ●××综合楼GSM网络的室内分布设计 ●3G网络规划、优化、测试等方向的自选题 ●流媒体技术及其在××地区中的应用 ●通信网(固网或者移动网络)网络管理方面自拟题目●网络电视(IPTV)技术在××地区中的应用 二、计算机类(12个) ●×××局域网优化(升级)方案设计 ●X X大学学籍管理系统开发与设计 ●X X电信计费系统的设计与实现 ●X X公司人事信息管理系统的设计与实现●X X图书馆信息管理系统的设计与实现●X X系统数据库优化的设计与实现●基于数据库技术的某网站设计与实现●某公司/学校网络方案规划与设计 ●某管理信息系统的设计与实现 ●网络安全策略的研究与应用 ●网上远程考试系统的设计与实现 ●××局域网(校园网)的规划设计 三、管理与营销类(14个) ●××公司××类人员绩效考核的研究 ●××公司××类人员薪酬管理的研究 ●××公司员工培训的研究 ●××邮政储蓄银行发展××业务的策略研究 ●××邮政局(公司)现代物流发展(营销)策略●××邮政局××业务发展策略探讨 ●关于××公司宽带业务营销策略的探讨 ●关于××公司提升服务质量的对策研究●关于××公司提升客户满意度的策略研究 ●关于××公司营销渠道建设与管理的探讨 ●关于××公司运维管理的精细化研究 ●关于××公司增值业务发展策略的探讨 ●关于××通信公司大(商务)客户营销策略的研究●关于××通信企业防止客户流失的策略研究

555简易电子琴数字逻辑课程设计报告 正文

目录 第一章系统概述 (1) 1.1 系统开发背景 (1) 1.2 系统开发意义 (1) 1.3 EWB在数字电子电路综合课程设计中的应用 (1) 第二章555简易电子琴设计 (2) 2.1 设计题目 (3) 2.2 设计的目的与要求 (3) 2.3 分析任务 (3) 2.3.1设计总开关模块 (3) 2.3.2设计控制模块 (3) 2.3.3设计琴键模块 (3) 2.3.4设计扬声器模块 (3) 2.4 需用器件的选择 (3) 2.4.1 555定时器 (3) 2.4.2 电容 (6) 2.4.3 电阻 (6) 2.5 总体说明 (6) 2.6 单元模块 (6) 第三章555简易电子琴的实现 (8) 3.1 单元模块的实现 (8) 3.2 电子琴的完整电路设计 (9) 3.3 参考文献 (17)

第一章系统概述 1.1系统开发背景 随着电子技术的不断发展,模拟电子技术的缺点和局限性越发明显,模拟电子技术的不稳定性、易干扰性等大大限制了其应用,且有阻碍电子 技术发展的趋势。19世纪兴起的数字电路以其先天的便捷、稳定的优点在 现代电子技术电路中占有越来越重要的地位。 数字电路与模拟电路相比有显而易见的稳定性。近年来,数字电路又有了巨大的发展。可编程逻辑器件(PAL、GAL等)的发展和普及最终使IC 的设计面向了用户(这是模拟电路无法做到的),而这毫无疑问会给用户带来巨大的便捷,从而奠定它在电子电路中的对位。 随着集成技术的进一步提高,各种新技术的出现和应用,人类历史横跨数码时代向更进一步发展已出现在各大型相关企业的宏伟蓝图中。新世 纪里谁掌握了新技术谁就得到了获胜的资本,也仅仅是资本而矣。新世纪 里电子行业的发展速度令人窒息,闻名的摩尔定律更把许多人威吓在门外。 可以展望,由数字构成的新世界即将出现。将是人类文明的又一飞跃。 1.2系统开发意义 555简易电子琴是一种用数字电路技术实现数字显示装置,与机械式数字显示装置相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。555简易电子琴从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做555简易电子琴就是为了了解555定时器的原理,从而学会制作555简易电子琴,而且通过555简易电子琴的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1.3EWB在数字电子电路综合课程设计中的应用

北邮移动通信课程设计

信息与通信工程学院移动通信课程设计 班级: 姓名: 学号: 指导老师: 日期:

一、课程设计目的 1、熟悉信道传播模型的matlab 仿真分析。 2、了解大尺度衰落和信干比与移动台和基站距离的关系。 3、研究扇区化、用户、天线、切换等对路径损耗及载干比的影响。 4、分析多普勒频移对信号衰落的影响,并对沿该路径的多普勒频移进行仿真。 二、课程设计原理、建模设计思路及仿真结果分析 经过分析之后,认为a 、b 两点和5号1号2号在一条直线上,且小区簇中心与ab 连线中心重合。在此设计a 、b 之间距离为8km ,在不考虑站间距的影响是默认设计基站间距d 为2km ,进而可求得a 点到5号基站距离为2km ,b 点到2号基站距离为2km ,则小区半径为3/32km,大于1km ,因而选择传播模型为Okumura-Hata 模型,用来计算路径损耗;同时考虑阴影衰落,本实验仿真选择阴影衰落是服从0平均和标准偏差8dB 的对数正态分布。实验仿真环境选择matlab 环境。 关于路径损耗——Okumura-Hata 模型是根据测试数据统计分析得出的经验公式,应用频率在150MHz 到1 500MHz 之间,并可扩展3000MHz;适用于小区半径大于1km 的宏蜂窝系统,作用距离从1km 到20km 经扩展可至100km;基站有效天线高度在30m 到200m 之间,移动台有效天线高度在1m 到10m 之间。其中Okumura-Hata 模型路径损耗计算的经验公式为: terrain cell te te te c p C C d h h h f L ++-+--+=lg )lg 55.69.44()(lg 82.13lg 16.2655.69α 式中,f c (MHz )为工作频率;h te (m )为基站天线有效高度,定义为基站天线实际海拔高度与天线传播范围内的平均地面海拔高度之差;h re (m )为终端有效天线高度,定义为终端天线高出地表的高度;d (km ):基站天线和终端天线之间的水平距离;α(h re ) 为有效天线修正因子,是覆盖区大小的函数,其数字与所处的无线环境相关,参见以下公式: 22(1.1lg 0.7)(1.56lg 0.8)(), 8.29(lg1.54) 1.1(), 300MHz,3.2(lg1.75) 4.97(), 300MHz,m m m m f h f dB h h dB f h dB f α---??-≤??->?中、小城市()=大城市大城市 C cell :小区类型校正因子,即为:

北京邮电大学2018年专业课803计算机学科基础综合考试大纲

北京邮电大学2018年专业课803计算机学科基础综合考试大纲 新祥旭考研:十年专注考研一对一辅导 803计算机学科基础综合 一、考查目标 计算机学科基础综合考试涵盖数据结构、计算机组成原理、操作系统和计算机网络等学科专业基础课程。要求考生比较系统地掌握上述专业基础课程的基本概念、基本原理和基本方法,能够综合运用所学的基本原理和基本方法分析、判断和解决有关理论问题和实际问题。 二、考试形式和试卷结构 1、试卷满分及考试时间 本试卷满分为150分,考试时间为180分钟。 2、答题方式 答题方式为闭卷、笔试。 3、试卷内容结构 数据结构 45分 计算机组成原理 45分 操作系统 35分 计算机网络 25分 4、试卷题型结构 单项选择题 80分(40小题,每小题2分) 综合应用题 70分 三、考查内容 数据结构 【考查目标】 1、掌握数据结构的基本概念、基本原理和基本方法。 2、掌握数据的逻辑结构、存储结构及基本操作的实现,能够对算法进行基本的时间复杂度与空间复杂度的分析。 3、能够运用数据结构基本原理和方法进行问题的分析与求解,具备采用C或C++语言设计与实现算法的能力。 一、线性表 (一)线性表的定义和基本操作 (二)线性表的实现 1、顺序存储 2、链式存储 3、线性表的应用 二、栈、队列和数组 (一)栈和队列的基本概念 (二)栈和队列的顺序存储结构 (三)栈和队列的链式存储结构 (四)栈和队列的应用 (五)特殊矩阵的压缩存储 三、树与二叉树 (一)树的基本概念

(二)二叉树 1、二叉树的定义及其主要特征 2、二叉树的顺序存储结构和链式存储结构 3、二叉树的遍历 4、线索二叉树的基本概念和构造 (三)树、森林 1、树的存储结构 2、森林与二叉树的转换 3、树和森林的遍历 (四)树与二叉树的应用 1、二叉排序树 2、平衡二叉树 3、哈夫曼(Huffman)树和哈夫曼编码 四、图 (一)图的基本概念 (二)图的存储及基本操作 1、邻接矩阵法 2、邻接表法 3、邻接多重表、十字链表 (三)图的遍历 1、深度优先搜索 2、广度优先搜索 (四)图的基本应用 1、最小(代价)生成树 2、最短路径 3、拓扑排序 4、关键路径 五、查找 (一)查找的基本概念 (二)顺序查找法 (三)分块查找法 (四)折半查找法 (五)B树及其基本操作、B+树的基本概念(六)散列(Hash)表 (七)字符串模式匹配 (八)查找算法的分析及应用 六、排序 (一)排序的基本概念 (二)插入排序 1、直接插入排序 2、折半插入排序 (三)气泡排序(bubble sort) (四)简单选择排序 (五)希尔排序(shell sort)

数字逻辑课程设计报告

数字逻辑 课程设计报告 —多功能数字钟的设计与实现 姓名: 专业班级:通信1002 学号:31006010 指导老师:曾宇 设计日期:2012.06.20~2012.06.24

一、设计目的 1、学会应用数字系统设计方法进行电路设计; 2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。 3、培养学生书写综合实验报告的能力。 二、设计任务及要求 实现多功能数字钟的设计,主要有以下功能: 1、记时、记分、记秒 2、校时、校分、秒清0 3、整点报时 4、时间正常显示 5、闹时功能(选做) 三、设计思路 3.1 计时模块 3.1.1 设计原理 计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。 图3.1.1 计时间模块 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号; 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 计数器的设计: 3.1.2 设计程序 编程分别设计24、60进制计数器,计数状态以BCD码形式输出。 24进制计数器源程序:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN24 is port(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic; h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位 end FEN24; architecture behave of FEN24 is begin process(clk) variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数 begin if(en='0')then ---“使能”为0 cnt0:="0010"; cnt1:="0001"; elsif clk'event and clk='1'then ---上升沿触发 if cnt1="0010"and cnt0="0011"then cnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000"; co<='1'; else co<='0'; if cnt0="1001"then cnt0:="0000"; cnt1:=cnt1+1; else cnt0:=cnt0+1; -----高位记数累加 end if; end if; end if; h1<=cnt1; h0<=cnt0; end process; end behave; 60进制计数器源程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN60 is

北京邮电大学论文设计(通信工程)答辩报告

北京邮电大学 毕 业 设 计(论 文)
论文题目:北京市TD-LTE无线网络规划设计
专业:通信工程 指导教师:毛京丽 姓名:XXXXX 学号:XXXXXXX
2015/7/23 1



一、 TD-LTE网络概述 二、北京市TD-LTE网络规划的必要性及规划原则 三、北京市TD-LTE无线网络规划方案 四、北京市TD-LTE无线网络性能指标的测试与分析 五、方案总结
2015/7/23
2

一、 TD-LTE网络概述
LTE简介
n
m1
LTE发展史
n
LTE特点
灵活支持 1.4,3,5,10,15,20MHz带宽
n
LTE是基于OFDMA技术、由 3GPP组织制定的全球通用 标准,包括FDD和TDD两种 模式用于成对频谱和非成 对频谱
n
2004年11月3GPP魁北克的 会议上,3GPP决定开始3G 系统的长期演进的研究项 目
下行使用OFDMA,最高速 率达到100Mbits/s数据传输 上行使用OFDM衍生技术 SC-FDMA(单载波频分复 用)最大速率达50Mbits/s 智能天线技术降低小区间 干扰,提高小区边缘用户 的服务质量
n
LTE-TDD,国内亦称TDLTE,即 Time Division Long Term Evolution (分时长期演进),由 3GPP组织涵盖的全球各大 企业及运营商共同制定
n
在2005年6月以大唐移动 为龙头,联合国内厂家, 提出了基于OFDM的TDD演 进模式的方案,同年11月
n
n
方案通过。
n
2007年9月将TD-LTE 帧结 构写入3GPP标准中
n
2011年加入中国移动的 2.6GHz频谱大规模试验。
2015/7/23

北邮数字逻辑期中试题及参考答案讲课稿

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门

考研复习提纲(北邮)

马克思主义原理 一、考试要求 要求考生系统掌握马克思主义基本理论,并且能够运用相关理论解决中国社会主义建设的具体问题。 二、考试内容 第一章马克思主义是关于社会发展与人类解放的科学 第二章马克思主义的唯物辩证法与认识论 第三章社会发展的基本规律和动力 第四章人民群众在社会发展中的作用 第五章资本主义制度的形成及发展规律 第六章社会主义制度及共产主义社会理想 第七章社会的全面进步与人的全面发展 三、考试结构 1、考试时间3小时,每科目为150分 2、题目类型:概念题,简答题,论述题。 马克思主义哲学原理 一、考试要求 要求考生系统地掌握马克思主义哲学基本知识及一定的运用原理解决实际问题的 能力。 二、考试内容 1、哲学是时代精神的精华 哲学及其社会功能;哲学的基本问题;哲学的历史发展 2、马克思主义哲学是无产阶级的科学的世界观 马克思主义哲学是人类历史发展和哲学发展的必然产物;马克思主义哲学是以 实践范畴为核心的完整的理论体系;马克思主义哲学与当代世界 3、世界的物质统一性 世界的物质性;物质世界的存在方式;意识对物质的依赖性和相对独立性;世界物质统一性的证明 4、物质世界的联系和发展 世界的普遍联系;世界的运动发展;世界联系和发展的规律性 5、世界联系和发展的基本环节 整体与部分;个别与一般、特殊与普遍;相对与绝对;原因与结果;偶然与必然;形式与内容;现象与本质;可能与现实 6、世界联系和发展的基本规律 量变质变规律;对立统一规律;否定之否定规律 7、人类社会生活的实践本质 实践和人类社会的产生;人的本质;社会存在和社会意识 8、物质生产 物质生产实践是全部社会生活的基础;物质生产力;现代生产实践的特点及其发展趋势 9、物质生产基础上的社会有机系统;社会交往与社会有机系统;社会的生产力和生产关系;社会的经济基础和政治上层建筑;社会的思想上层建筑;社会有机系统的演化 10、阶级斗争的历史地位 阶级和阶级斗争;国家和无产阶级专政;社会主义的政治民主和政治自由 11、人民群众和个人在历史中的作用 历史规律和人的自觉活动;人民群众在;历史中的作用;个人在历史中的作用无产阶级政党的群众观点和

北邮数字逻辑期中试题与参考答案

邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级班序号 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳) 3.若对4位二进制码(B3B2B1B0)进行奇校验编码,则校验位C= B3⊕B2⊕B1⊕B0⊕1。(√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0 m m=。(╳) i j 7. CMOS门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。(√) 10.格雷BCD码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) =++g,下列说法中正确的有 B 。11.关于函数F A C BCD AB C A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD和ACD进行消除; C. 存在静态功能冒险,需要加冗余项ABD和ACD进行消除; D. 当输入ABCD从0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A⊕B和G=A⊙B满足关系D。

相关文档
最新文档