模拟电子技术课程设计(Multisim仿真)要点

模拟电子技术课程设计(Multisim仿真)要点
模拟电子技术课程设计(Multisim仿真)要点

《电子技术Ⅱ课程设计》

报告

姓名 xxx

学号

院系自动控制与机械工程学院

班级

指导教师

2014 年 6 月18日

目录

1、目的和意义 (3)

2、任务和要求 (3)

3、基础性电路的Multisim仿真 (4)

3.1 半导体器件的Multisim仿真 (4)

3.11仿真 (4)

3.12结果分析 (4)

3.2单管共射放大电路的Multisim仿真 (5)

3.21理论计算 (7)

3.21仿真 (7)

3.23结果分析 (8)

3.3差分放大电路的Multisim仿真 (8)

3.31理论计算 (9)

3.32仿真 (9)

3.33结果分析 (9)

3.4两级反馈放大电路的Multisim仿真 (9)

3.41理论分析 (11)

3.42仿真 (12)

3.5集成运算放大电路的Multisim仿真(积分电路) (12)

3.51理论分析 (13)

3.52仿真 (14)

3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14)

3.61理论分析 (14)

3.62仿真 (14)

4.无源滤波器的设计 (14)

5.总结 (18)

6.参考文献 (19)

一、目的和意义

该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。

二、任务和要求

本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求:

1、巩固和加深对《电子技术2》课程知识的理解;

2、会根据课题需要选学参考书籍、查阅手册和文献资料;

3、掌握仿真软件Multisim的使用方法;

4、掌握简单模拟电路的设计、仿真方法;

5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

三、模拟电路的设计和仿真

3.1、半导体器件的Multisim仿真

在Multisim中构建二极管电路,如图1-1所示,图中VD是虚拟二极管,输入端加最大值U im=14V,平率为1KHZ的正弦波电压,接入一台虚拟示波器XSCL,这是一台双踪示波器,有A、B两个通道,A端接二极管电路的输入端,B端接电路的输出端,如图1-1。

图 1-1半导体器件的仿真电路

仿真后得到波形如图1-2所示,有图可见,输入信号是一个双向的正弦波电压,而经过二极管以后,得到单向脉冲电压,可见二极管具有单向导电性。

图 1-2输出波形

分析直流工作点分析,如图1-3所示

图 1-3分析结果

3.2单管共射放大电路

(1) 理论计算

静态工作点分析 设三极管的V

U BEQ

7.0=,可得

A m A m A R

U V I

b

BEQ

CC

BQ

μ4004.02807.012==???

??-=-=

()500.042CQ

BQ

mA mA

I

I β≈=?=

()12236CQ C CEQ

CC V V

U

V I R =-=-?=

动态动作点分析

首先需要估算三极管的be r ,根据以上对静态工作点的分析计算可得

2CQ

mA

I

=。可以认为2EQ

CQ mA

I I ==,则

()

()

'26261300519632be bb EQ

mV r r I

β?

?=++=+?Ω=Ω ??

?

'

33

// 1.533

L C L R R R ?==

KΩ=KΩ+

所以

'

50 1.5

77.9

0.963

L

u

be

A

R

r

β

??

=-=-=-

//963

i be b be

R r R r

=≈=Ω

3

c

R R

==KΩ

(2)仿真

在Multisim中构建单管共射放大电路如图2-1所示

图 2-1单管共射放大电路

图2-1中的单管共射放大电路仿真后,可以从虚拟示波器上得到U i和U o波形如图2-2所示。由图可见U o波形没有明显的非线性失真,而U o与U i的波形相位相反。

图 2-2输出波形

(3)分析

直流工作点分析如图所示2-3

图 2-3结果分析

可在仿真电路中接入三个虚拟数字万用表,分别设置直流电流表或直流电压表,以便测得BQ I 、CQ I 和CE U ,电路仿真后,可测

40.079, 2.002, 5.995BQ

CQ CEQ m V

U I

I μ=A =A =

3.3差分放大电路的Multisim仿真(长尾式)

在Multisim中构建一个接有凋零电位器的长尾式差分放大电路如图3-1所示,其中两个三极管的参数为β1=β 2=50,r bb’1=r bb’2=300Ω,调零电位器R w的滑动端调在中点。

3-1 长尾式差分放大电路

加上正弦输入电压,利用虚拟示波器可看出u c1与u I反相,而u c2与u I同相,如图3-2所示。

图 3-2输出波形

利用Multisim的直流工作点分析功能测量电路的静态工作点、差摸电压放大倍数、输入电阻和输出电阻。

直流工作点分析功能结果如下:

图 3-3结果分析 可知12 4.36453CQ CQ V U U ==(对地)

1

2382.77491BQ BQ mV U

U ==-(对地)

则1

12

1

12 4.36453

0.25430

CC

CQ CQ CQ c mA mA V U I I R

--==

=

= 2)加上正弦输入电压,利用虚拟示波器可以看出1c u 与2c u 反相,而2c u 与I u 相同。

3)当i u =10mV 时,由虚拟仪表测得0u =127.517mV ,i I =169.617nA ,

则 0127.517

12.751710

d i

U A U

=-=-

=- 3

1058.956169.617

10i R =

?KΩ=KΩ 将负载电阻L R 开路,测得'

0U =510.044mV

则'0

00510.044112059.996127.517L U R R U ???? ?=-=-?KΩ=KΩ ? ?????

(3)结果对比

所得的输入电阻、输出电阻相差不大,几乎相等,可知,长尾式差分放大电路很好的抑制了零点漂移。

3.4、两级反馈放大电路的Multisim 仿真

在Multisim 中构建两级电压串联负反馈放大电路,如图4.1所示

图4-1 两级反馈放大电路

(1) 将K 断开,电路中占不引入中间反馈。

1)利用

multisim 的直流工作点分析功能,测量无级间反馈时两级放大电路

的静态工作点,分析结果如下:

图4-2 两级反馈放大电路

可见,1 2.48656BQ V U =,1 1.84467EQ V U =,19.66046CQ V U =,2 3.72360BQ V U =

2

3.06760EQ V U

= 28.89295CQ V U =。

断开时的波形如图4-3所示

图4-3两级反馈放大电路

2)加上正弦输入电压,利用虚拟示波器可观察到第一级输出电压波形与输入电压反相,而第二级输出电压波形与输入电压相同。两个放大级的输出波形均无明显的非线性失真。当 4.999i mV U =时,利用虚拟仪表可测得0644.624mV U =。可见,无级间反馈时,两级放大电路总的电压放大倍数为

0644.624

128.954.999

u i

U A U

?

?

?

=== 3)有虚拟仪表测得,当i U =4.999mV 时, 3.149i I μ=A ,则无间级反馈时放大电路的输入电阻为

4.999

1.5873.149

i i

i

U R I

==KΩ=KΩ 4)将负载电阻L R 开路,测得'

0U =1.289,则放大电路无间级反馈时的输出电阻为

'0001289112 1.9992644.624L U R R U ???? ?=-=-?KΩ=KΩ ? ?????

(2)将图4.1开关合上,引入串联负反馈。

输出波形如图4.4所示

图4-4两级反馈放大电路

1)加上正弦输入电压,由虚拟示波器看到,同样的输入电压之下,输出电压的

幅度明显下降,但波形更好。有虚拟仪表测得,当'i U =4.999mV 时,'

0U =50.066mV ,则引入电压串联负反馈后,电压放大倍数为

50.066

10.0154.999

uf

i

U A

U

?

?

?

=== 说明引入负反馈后电压放大倍数减小了。

2)有虚拟仪表测得,当'

i U =4.999mV 时, 3.014i I μ=A ,则

4.999

1.6593.014

i if

i

U R

I

==

KΩ=KΩ 可见引入电压串联负反馈后输入电阻提高了。但与无间级反馈时的i R 相比,提高很少,这是由于图4.1所示电路中总的输入电阻为

'

1112////if if b b R R R R = 可以看出总的输入电阻if R 提高不多。

3)将负载电阻L R 开路,测得'

051.793mV U =,则

'0

051.7931120.06898968.98950.066of

L U R

R U ???? ?=-=-?KΩ=KΩ=Ω ? ?????

可见,引入电压串联负反馈后,输出电阻降低了。

3.5集成运算放大电路Multisim 仿真(积分电路)

在Multisim 中构建积分电路如图5-1所示,在积分电路的输入端加上有效值为0.5V ,频率为50HZ 的正弦电压。

图5-1 积分电路

由虚拟示波器可看出输入输出波形如图5-2所示。

图5-1 输出波形

积分电路直流工作点分析结果如图5-2所示

图5-1 分析

3.6 波形发生电路的Multisim 仿真(三角波发生器) 三角波和方波发生器Multisim 仿真电路图如图6-1所示

图6-1波形发生器

(1)由虚拟示波器可观察到电路的输出波形为三角波,而前一级滞回比较器的输出波形为矩形波,如图6-2所示

图6-2波形发生器

(2)从虚拟示波器上可测得,三角波的幅度为10on V U =,振荡周期T =5ms 。

四、无源滤波器的设计

(1)无源低通滤波器

低通滤波器指低频信号能通过而高频信号不能通过的滤波器,如图7-1所示

的RC低通电路是最简单的低通滤波器,一般称为无源低通滤波器。

图7-1 RC低通滤波电路

截止频率:?0=1/2πRC=1/2×100×10-6×3.14=0.68×10-5

(2)高通滤波器

高频滤波器是指高频信号能通过而低频信号不能通过的滤波器。如图7-2所示为高通滤波器的电路图。

图7-2 RC高通滤波电路

截止频率:?0=1/2πRC=1/2×100×10-6×3.14=0.68×10-5

(3)带通滤波器

带通滤波器是指频率在某一频率范围内的信号能通过,而在此范围之外的信号不能通过的滤波器,如图7-3所示。

图7-3 RC带通滤波电路

由图7-4得

图7-4 扫描仪

由上图得中心频率?0=731.566Hz;

通带宽度B为:B=ωch-ωci=6675.06Hz

品质因数Q=ω0/B=2.73

(4)带阻滤波器

带阻滤波器作用与带通滤波器相反,即在规定的频带内,信号被阻断,而在此频带完信号通的过,电路图如图7-5所示

图7-5 带阻滤波器电路图对上图进行仿真,从扫描仪得到图7-6

图7-6 扫描仪

有图7-6得中心频率:?0=6.128Hz

阻带宽度B=ωch-ωci=1.46kHz

品质因数Q= ?0 /B=0.0037

五总结

通过两个星期的努力,终于完成了课程设计。这是我迄今为止收获最多的一次课程设计。记得刚开始学习这一部分知识的时候,觉得挺简单。没想到真要实践它的时候,发现它并不是想象中的那么简单。特别是刚接触Multisim软件的时候,非常的陌生,当时在老师的认真讲解下我还是将它搞定了,还有就是在用截图的时候,本来以为随时都在用的东西本因该是很简单的,但是当我用到公式工具的时候就特别陌生了,并且还很慢,于是我请教了好几个同学,慢慢的我熟悉了。感谢王老师,如果没有她,我的课程设计也不可能圆满完成。这次课设让我懂得了很多,也学到了很多课本上没有的知识。

就像动手查阅资料,与别人交流讨论问题的好处。自己的知识总是很局限,查资料和探讨问题会扩大自己的知识面。

在设计期间遇到了很多棘手的问题。比如设计的电路图,在理论上都讲得通,可是在虚拟实验室里模拟的时候,却实现不了。一方面是对电路参数的不熟悉,另一方面是不能很好的使用模拟实验室软件。通过请教老师,在老师的帮助下,多次改变电阻值,、电压值和电容值以及调节示波器的参数等一些小细节,最终产生了波形。

我很高兴能够有这次实际操作的机会,有些东西平时觉得很简单但是实际操作起来就不是那样了,这次课程设计让我又一次的验证了实践是检验真理的唯一标准,只有自己亲自用心,学到的才是自己的东西。

六、参考文献

[1]杨素行.《模拟电子技术基础简明教程》[M].北京:高等教育出版社,2006年

[2] 华中理工大学电子学教研室编,康华光主编.陈大钦副主编(电子技术基础)(模拟部分)第四版.北京.高等教育出版社.1999

[3]吴运昌编著:[模拟集成电路原理与应用],广州.华南理工大学出版社,1995

[4]王志华编著:[电子电路的计算机辅助分析与设计方法]北京.清华大学出版社1996

[5] 王汝君.钱秀珍编:[模拟集成电子电路(上)(下)].南京,东南大学出版社1993

[6] 华中理工大学电子学教研室,陈大钦主编.杨华副主编,(模拟电子技术基础),北京,高等教育出版社 ,2000

[7]

A.J.Peyton V. Walsh: Analogue eletronics with Op Amps:a source book of practica

l, Campridge university press,New york, 1993

[8]

Jacob Millman and Arvin Grabel .Microelectronics.2nd ed.New York:Mcgraw-Hill book Company,1987

[9] Allan R. Hambley. Electronics. 2nd ed. Prentice Hall Inc. 2000

[10]

Sergio Franco. Design With Operational Amplifiers and Analog Integrated Circuit s. McGraw-Hill

Company. 2002

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

实验1:电路仿真工具multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列):

电源组信号源基本器件组 (1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

最新模拟电子电路multisim仿真(很全 很好)资料

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器)

Multisim电路仿真应用

Multisim电路仿真及应用 仿真实训一:彩灯循环控制器的设计与仿真分析变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢?这就是我们下面要讨论的课题—彩灯循环控制电路。 电路设计分析彩灯循环控制技术指标: 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出。 仿真实训二:交通信号灯控制系统的设计与仿真分析十字路口的交通信号灯是我们每天出行时都会遇到的,信号灯指挥着行人和各种车辆安全有序的通行。实现红、绿灯的自动控制是城市交通管理现代化的重要课题,合适的信号灯指挥系统可以提高城市交通的效率。下面我们以该课题为例进行设计与仿真分

析。 电路设计分析交通信号灯控制系统的技术指标: 1.主、支干道交替通行,主干道每次放行30s,支干道每次放行20s。 2.绿灯亮表示可以通行,红灯亮表示禁止通行。 3.每次绿灯变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。 4.十字路口要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。 5.在黄灯亮时,原红灯按1HZ的频率闪烁。 6.要求主、支干道通行时间及黄灯亮的时间均可在0-99s任意设定。 仿真实训三:篮球比赛24秒倒计时器的设计与仿真分析电路设计分析: 计时器在许多领域均有普遍的应用,篮球比赛中除了有总时间倒计时外,为了加快比赛节奏,新的规则还要求进攻方在24秒有一次投篮动作,否则视为违规。 本设计题目“篮球比赛24秒倒计时器”从数字电路角度讨论,实际上就是一个二十四进制递减的计数器。 电路设计技术指标: 1.能完成24秒倒计时功能。 2.完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.360docs.net/doc/7e14437092.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.360docs.net/doc/7e14437092.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.360docs.net/doc/7e14437092.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.360docs.net/doc/7e14437092.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

Multisim电路仿真

Multisim电路仿真 示例1.直流电路分析 步骤一:文件保存 打开Multisim 软件,自动产生一个名为Design1的新文件。 打开菜单File>>Save as…,将文件另存为“CS01”(自动加后缀) 步骤二:放置元件 打开菜单Place>>Component… 1.选择Sources(电源)Group (组),选择POWER_SOURCES(功率源)Family(小组),在元件栏中用鼠标双击DC_POWER,将直流电源放置到电路工作区。 说明:所有元件按Database -> Group -> Family 分类存放

2.继续放置元件: Sources Group –>POWER_SOURCES Family->ROUND(接地点 Basic Group->RESISTOR Family(选择5个电阻) 3.设定元件参数。采用下面两种方式之一 1)在放置元件时(在一系列标准值中)选择; 2)在工作区,鼠标右键点击元件,在Properties (属性)子菜单中设定。 步骤三.根据电路图连线 用鼠标拖动元件到合适位置,如果有必要,鼠标右键点击元件,可对 其翻转(Flip)或旋转(Rotate)。连线时先用鼠移至一个元件的接线端, 鼠标符号变成叉形,然后拖动到另一结点,点击右键确认连线。 若需显示全部节点编号,在菜单 Option>>Sheet Properties>>Sheet visibility 的Net names 选板中选中show all。

步骤四.电路仿真 选择菜单Simulate>>Analyses>>DC operating point…(直流工作点分析) 在DC operating point analysis窗口中,选择需要分析的变量(节点电压、元件电流或功率等)。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

基于Multisim的电路仿真

模拟电子技术实验《信号放大器的设计》 班级: 姓名: 指导老师: 2013年12月10日至12日

1.实验目的 (1)掌握分立或集成运算放大器的工作原理及其应用。 (2)掌握低频小信号放大电路和功放电路的设计方法。 (4)通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力 以及团队精神。 (5)通过实验总结回顾所学的模拟电子技术基础理论和基础实验,掌握低频小信号放大电路 和功放电路的设计方法 2.实验任务和要求 2.1实验任务 1)已知条件: 信号放大电路由“输入电路”、“差分放大电路”、“两级负反馈放大电路”、“功率放大器”、“扬声器”几部分构成。 图2-1 信号放大器的系统框图 2)性能指标: a)输入信号直接利用RC 正弦波振荡电路产生。 b) 前置放大器: 输入信号:Uid ≤ 10 mV 输入阻抗:Ri ≥ 100 k c) 功率放大器: 最大不失真输出功率:Pomax ≥1W 负载阻抗:RL= 8; 电源电压:+ 5 V ,+ 12V ,- 12V d) 输出功率连续可调 直流输出电压 ≤ 50 mV 信号产生 差分放大 共射级放大 功率放大 负反馈 输出信号

静态电源电流≤100 mA 2.2实验要求 1)选取单元电路及元件 根据设计要求和已知条件,确定信号产生电路、前置放大电路、功率放大电路的方案, 计算和选取单元电路的原件参数。 2)前置放大电路的组装与调试测量前置放大电路的差模电压增益AU、共模电压增益AUc、共模抑制比KCMR、带宽BW、输入电压Ri等各项技术指标,并与设计要求值进行比较。 3)有源带通滤波器电路的组装与调试 测量有缘带通滤波器电路的差模电压增益AUd、带通BW,并与设计要求进行比较。 4)功率放大电路的组装与调试 功率放大电路的最大不失真输出功率Po,max、电源供给功率PDC、输出效率η、直流输 出电压、静态电源电流等技术指标。 5)整体电路的联调 6)应用Multisim软件对电路进行仿真分析。 2.3选用元器件 电容电阻若干、双踪示波器1个、信号发生器一个、交流毫伏表1个、数字万用表等仪器、晶体三极管 2N3906 1个,2N2222A 5个,2N2222 2个,2N3904 2个,1N3064 1个。 3、实验内容 1、总电路图 (一)实验总体电路图

Multisim仿真混沌电路

Multisim仿真—混沌电路 1104620125

Multisim仿真—混沌电路 一、实验目的 1、了解非线性电阻电路伏安特性,以及其非线性电阻特征的测量方法; 2、使用示波器观察混沌电路的混沌现象,通过实验感性地认识混沌现象,理解非线性科学中“混沌”一词的含义;; 3、研究混沌电路敏感参数对混沌现象的影响 二、实验原理 1、蔡氏电路 本实验采用的电路图如图9-16 所示,即蔡氏电路。蔡氏电路是由美国贝克莱大 学的蔡少棠教授设计的能产生混沌行为的最简单的一种自制电路。R 是非线性电 阻元件,这是该电路中唯一的非线性元件,是一个有源负阻元件。电容C2 与电 感L 组成一个损耗很小的振荡回路。可变电阻1/G 和电容C1 构成移相电路。最 简单的非线性元件R 可以看作由三个分段线性的元件组成。由于加在此元件上的 电压增加时,故称为非线性负阻元件。 三、实验内容 为了实现有源非线性负阻元件实,可以使以下电路,采用两个运算放大器(1 个双运放TL082)和六个配置电阻来实现,其电路如图1,这主要是一个正反馈电路,能输出电流以维持振荡器不断震荡,而非线性负阻元件能使振荡周期产生分岔和混沌等一系列非线性现象。 1、实验电路如下图,电路参数:1、电容:100nf 一个,10nf 一个; 2、线性电阻6 个:

200Ω二个,22kΩ二个,2.2kΩ一个,3.3kΩ一个;3、电感:18mH 一个;4、运算放大器:五端运放TL083 二个;5、可变电阻:可变电阻一个;6、稳压电源:9V 的VCC 二个,-9V 的VEE 二个; 图1 选好元器件进行连接,然后对每个元器件进行参数设置,完成之后就可以对 蔡氏电路进行仿真了。双击示波器,可以看到示波器的控制面板和显示界面,在 控制面板上可以通过相关按键对显示波形进行调节。 下面是搭建完电路的截图: 2、将电压表并联进电路,电流表串联进电路可以直接测出加在非线性负阻的电压、电流, U/V I/mA U/V I/mA 12 0.1579 -1 -0.76917 11 2.138 -2 -1.44352 10 4.601 -3 -1.84752

multisim电路仿真图

一.直流叠加定理仿真 图1.1 图1.2 图1.3 结果分析:从上面仿真结果可以看出,V1和I1共同作用时R3两端的电压为36.666V;V1和I1单独工作时R3两端的电压分别为3.333V和33.333V,这两个数值之和等于前者,符合叠加定理。 二.戴维南定理仿真 戴维南定理是指一个具有直流源的线性电路,不管它如何复杂,都可以用一个电压源UTH与电阻RTH串联的简单电路来代替,就它们的性能而言,两者

是相同的。 图2.1 如上图2.1电路所示,可以看出在XMM1和XMM2的两个万用表的面板上显示出电流和电压值为:IRL=16.667mA,URL=3.333V。 图2.2 如上图2.2所示电路中断开负载R4,用电压档测量原来R4两端的电压,记该电压为UTH,从万用表的面板上显示出来的电压为UTH=6V。

图2.3 在图2.2所测量的基础之上,将直流电源V1用导线替换掉,测量R4两端的的电阻,将其记为RTH,测量结果为RTH=160Ω。 图2.4

在R4和RTH之间串联一个万用表,在R4上并接一个万用表,这时可以读出XMM1和XMM2上读数分别为:IRL1=16.667mA,URL1=3.333V。 结果分析:从图2.1的测试结果和图2.4的测试结果可以看出两组的数据基本一样,从而验证了戴维南定理。 三.动态电路的仿真 1、一阶动态电路: 图3.1 2、二阶动态电路分析: 图3.2 2、二阶动态电路: 图3.3

一阶动态电路中V2随时间的变化可以看出,在0~500ms之间随时间的增大而非线性增大,大于500ms后趋于稳定。 图3.4 当R1电位器阻值分别为500Ω,2000Ω,4700Ω时,输出瞬态波形的变化如上图所示。 四.交流波形叠加仿真 图4.1

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

Multisim数电仿真 555电路应用

实验3.12 555电路应用 一、实验目的: 1. 了解555电路的工作原理。 2. 学会分析555电路所构成的几种应用电路工作原理。 3.掌握555电路的具体应用。 二、实验准备: 555电路是一种常见的集模拟与数字功能于一体的集成电路。只要适当配接少量的元件,即可构成时基振荡、单稳触发等脉冲产生和变换的电路,其内部原理图如图3.12.1所示,其中(1)脚接地,(2)脚触发输入,(3)脚输出,(4)脚复位,(5)脚控制电压,(6)脚阈值输入,(7)脚放电端,(8)脚电源。 图3.12.1 555集成电路功能如表3.12.1所示。 表3.12.1:

注:1.(5)脚通过小电容接地。 2.*栏对CMOS 555电路略有不同。 图3.12.2是555振荡电路,从理论上我们可以得出: 振荡周期: C R R T ?+=)2(7.021...........................…….....3.12.1 高电平宽度: C R R t W ?+=)(7.021 ..........................…….....3.12.2 占空比: q = 2 12 12R R R R ++............................................…......3.12.3 图3.12.3为555单稳触发电路,我们可以得出(3)脚输出高电平宽度为: RC t W 1.1=............................................................3.12.4 三、计算机仿真实验内容: 1. 时基振荡发生器: (1). 单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条

模拟电路Multisim软件仿真教程

第13章 Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

Multisim电路仿真实验

Multisim电路仿真实验 一、实验目的 熟悉电路仿真软件Multisim的功能,掌握使用Multisim进行输入电路、分析电路和仪表测试的方法。 二、使用软件 NI Multisim student V12 三、实验内容 1.研究电压表内阻对测量结果的影响 输入如图1所示的电路图,在setting 中改变电压表的内阻,使其分别为200kΩ、5kΩ等,观察其读数的变化,研究电压表内阻对测量结果的影响。并分析说明仿真结果。 图1 实验结果: 【200kΩ】

图2【5k 】 图3 分析:

①根据图1电路分析,如果不考虑电压表内阻的影响,U10=R2V1/(R1+R2)=5V; ②根据图2,电压表内阻为200kΩ时,电压表示数U10=4.878V,相对误差|4.878-5|*100%/5=2.44% ③根据图3,电压表内阻为5kΩ时,电压表示数U10=2.5V,相对误差|2.5-5|*100%/5=50% 可以看出,电压表内阻对于测量结果有影响,分析原因,可知电压表具有分流作用,与R2并联后,R2’=1/(1/R1+1/R V)>R2时,U10’≈U10 2. RLC串联谐振研究 输入如图4的电路,调节信号源频率,使之低于、等于、高于谐振频率时,用示波器观察波形的相位关系,并测量谐振时的电流值。用波特图仪绘制幅频特性曲线和相频特性曲线,并使用光标测量谐振频率、带宽(测量光标初始位置在最左侧,可以用鼠标拖动。将鼠标对准光标,单击右键可以调出其弹出式菜单指令,利用这些指令可以将鼠标自动对准需要的座标位置)。 图4 实验结果: 【等于:f=159.155Hz】

Multisim数字电路仿真快速上手教程

Multisim 快速上手教程每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!!以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为 KVL+KC)L 来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft 来做数字电路,都到了做出 8bitCPU 的水平(、l )。这个很神奇。 以下进入正文 首先,下载Multisim 安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12 是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12 了。 然后运行,在Circuit Design Suite12.0 里,有一个multisim ,单击运行。进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。现在来以一个简单的数字逻辑电路为例:菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。来个7400 吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD-INPUT NAND 即是“四个双输入与非门”的意思。 点击确认,放置元件。 A B C、D在这里指一块7400里的四个双输入与非门,点击即可放置。看起来很和谐,那就做个RS 触发器吧。 这里输出用的是一种虚拟器件PROB,在Indicators 组,图标就是个数码管的那个。功能相当于实验箱上那些LED也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。接下来解决输入,同样仿照实验箱上方式解决——使用单刀双掷开关(英文简称SPDT) 这里介绍的技巧就是,在上述的界面里,把组选到所有组、系列选到所有系列,在元器件里输入“ SPDT,可以使用“ *”做通配符代替元件代号不清楚的地方。 同样的方法加入VCCDGND应该要与GROUN模拟地区分开),说道这里需要注意,Multisim 里的仿真,电路必须有接地,没有接地将无法启动仿真。出现这个窗口一定选确认。然后就是连线了。点击元件的端点就可以引出导线,到另一个元件端点即可结束。选中开关,双击之,出现下面窗口:把空格改为“ R”另一个开关用同样的方法改成“ S”。 一个RS 触发器就弄好了,然后就可以开始仿真了。点击菜单栏上一个绿色的类似于播放键的三角形图标,开始仿真。 R=0, S=0, Q和Q都输出为1. 然后可以按下键盘上的R和S键,切换开关,观察其他状态。如同时按下RS切换至1,将 观察到不稳定输出,两灯闪烁。(截图是一个时刻,无法展现闪烁的动态效果) 然后就没有了。_________________________________________________________________________ 再来说说有的元件出来是芯片: 你会发现没有VCCGND这些管脚,因为它们都被隐藏了。只要你在电路中添加了VCCDGND 软件就会将这些隐藏管脚与之相连。 来做个显示译码器+数码管吧! 把元件添加好:7448N+七位共阴极数码管

multisim 数字电路仿真实验电子表电路仿真

Multisim 数字电路仿真实验 电子表电路仿真 汽车工程系汽13班张昊 010975 实验目的 用Multisim的仿真软件,对数字电路进行仿真研究 实验内容 电子表电路的框图如图19.3 所示,其工作要求如下:时钟输入为秒脉冲。秒计数器为60 进制,BCD 码输出。秒计数器的进位脉冲送给分计数器,分计数器也是60 进制,BCD 码输出。分计数器的进位脉冲送给小时计数器,小时计数器是24 进制,BCD 码输出。各计数器的输出送显示译码器,显示译码器的输出送七段数码管。设一个开关,开关合向高电平(+5V 电源),计时开始;开关合向地,各计数器清除。 电子表电路Multisim 仿真设计图如图19.4 所示。其电路结构是:计数器芯片采用74290N,其中U1、U2 组成秒计数器,U3、U4组成分计数器,U5、U6 组成小时计数器。显示译码器采用7448N。开关J1控制计数和清除。其他门电路实现进位或清除逻辑功能。

3.选做实验 (1)修改图19.4 电路,实现时、分、秒的对表逻辑。 (2)自拟一个电路进行仿真实验。 电路分析 本实验中最重要的部分是由两片74LS90组成100以内任意进制计数器的原理。原实验电路图分为两部分,一是计数器部分,二是译码显示部分。 计数器部分由六个74LS90芯片组成的两个60进制计数器和一个24进制计数器级连而成,由秒脉冲使其实现对时,分,秒的计时功能。其中通过逻辑电路保证分钟计数器的输入信号为秒计数器的进位脉冲,时计数器的输入脉冲为分计数器的进位脉冲。 另外,还具有同时手动清零的功能。 译码显示部分由译码器7448N和七段数码显示管组成,实现将计数器的值用数码显示的功能。 对原电路的改进 由上述对原电路各部分功能的分析,为方便实验,在不影响其功能的前提下,我认为有几个地方可以作如下修改。 首先,可以选用四输入的带有译码电路的数码管代替原有译码显示部分,这样可以使得电路更加简洁,便于分析。 第二,原电路的进位逻辑(以秒计数器向分计数器进位为例)为当秒计数器的两个74LS90芯片分别显示6和0时将两者的输出信号作与运算后进位,这样做是保证在秒计数

相关文档
最新文档