交通灯控制器设计B

交通灯控制器设计B
交通灯控制器设计B

摘要

在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。红绿交通灯自动控制系统在城市十字(或丁字)路口有着广泛的应用。

随着社会的进步,人们生活水平的提高,私家车数量会不断增加,对城市交通带来前所为有的压力。道路建设也将随之发展,错综复杂的道路将不断增多。为维持稳定的交通秩序,为了实现交通道路的管理先进性、科学化交通灯是人们在城市出行的准则。交通灯的出现使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。本设计应用基本数字电路知识,采用发光二极管作红、绿、黄三种颜色交通灯,用七段数码管作同步计时显示,以传统的设计方法为基础来实现设计交通控制信号灯。

目录

1 设计任务 (1)

2 总体设计方案 (1)

2.1 方案论证 (1)

2.2 设计思想 (3)

2.3 总体方框图 (4)

2.4 工作原理 (4)

2.5 电路特点 (4)

3 单元电路设计 (5)

3.1 时钟单元电路设计 (5)

3.2 数码管显示电路设计 (5)

3.3 译码器显示电路设计 (9)

3.4 主控电路设计 (10)

3.5 交通信号灯电路设计 (11)

4 原理总图 (12)

5 元器件清单 (13)

6 安装与调试 (13)

6.1 通电前检查 (13)

6.2 通电检查 (13)

6.2.1显示电路的调试 (13)

6.2.2 74LS161清零模块的调试 (13)

6.2.3 NE555时钟电路的调试 (13)

6.2.4 74LS04非门的调试 (14)

6.2.5 74LS08与门的调试 (14)

6.2.6 74LS138译码器的调试 (14)

6.2.7 74LS32或门的调试 (14)

6.2.8发光二极管的调试 (14)

6.2.9 按钮开关的检查 (14)

6.3 结果分析 (14)

7 总结 (15)

7.1 本方案特点及存在的问题 (15)

7.2总结体会 (15)

参考文献 (17)

1 设计任务

设计并制作一个交通信号灯控制器。在由主干道和支干道汇成十字路口,主、支干道分别设有红、绿、黄三色信号灯。红灯亮禁止通行,绿灯亮允许通行,黄灯亮则停止行驶(给行驶中的车辆有时间停在禁行线以外)。具体要求如下:

(1) 主干道处于常通行的状态,支干道有车时才交替通行。主干道每次放行45秒,支干道每次放行25秒。

(2) 由绿灯亮转换到红灯亮时,黄灯要亮5秒; (3) 用数码管进行计时显示。

注:用红、绿、黄发光二极管作信号指示灯;用逻辑开关作为支干道检测车辆是否到来的信号。

参考元器件:74HC160/161,74HC190/192,74HC02/08/10/11/21,74HC151/153,74HC138/139,CD4511,NE555。

提示:用Multisim 软件验证电路设计是否正确,无误后再制作。

2 总体设计方案

2.1 方案论证

方案一:采用单片机实现

选用8031单片机,8255并行通用接口芯片,74LS07,MAX692‘看门狗’,共阴极的七段数码管,双向晶闸管,7805三端稳压电源,红、黄、绿交通灯,开关键盘等。系统总框图如下:

图2-1 方案一系统总框图

方案二:采用数字电子技术

交通灯控制系统的原理框图如下图所示。它主要由控制器、定时器、译码器和秒

锁存

8031 系 统 处 理

8255A 扩展CPU 的并行接口

数码显示

键盘设置

时间参数

红外对管检测信号输入 红灯报警并 记录车流量

红绿灯

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中:

TL: 表示主干道绿灯亮的时间间隔为45秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。

TY :表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST :表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

图2-2 方案二流程图

方案比较:

方案一采用现在比较流行的51系列片机实现对灯的自动控制和同步倒计时输出。该方案能够实现设计所给出的要求,且硬件电路较简单接线较容易。但是,我们现阶段还没有学过单片机的知识,对单片机的具体工作原理不清楚,且完全不懂基于51系列单片机的编程。对单片机而言,程序是其工作的灵魂,虽然对程序有一定的掌握,但对单片机的了解少之又少,就现在所掌握的知识而言,要实现这一方案难度较大!

方案二采用的是基本数字电路,原理比较简单,且其原理和所使用器件都是我们在数字电子技术课程中所学过的,通过数字电子技术实验的学习对其有了基本掌握,实现起来比较容易。

1

S 2 10

1

1

11

S 3

00

S 0 1

01

S 1

ST AG

BR TL

AG BR

TY

AG BR

ST

ST

AG BR

TY

TL

ST

方案比较:

综上分析,此设计方案选择方案二,其原理简单,便于连线,便于调试,且容易实现,因此,可以通过控制NE555单稳态触发器输入脉冲信号,采用74LS161计数,利用单刀双掷开关控制其清零端,结合74LS04、74LS08、74LS32等门电路实现红、黄、绿信号灯三种不同周期的计数。

2.2 设计思想

要实现的任务是:

工作流程:

(1)主支干道都有车:

(a)主干道方向绿灯亮,支干道方向红灯亮。

(b)主干道方向黄灯亮,支干道方向红灯亮。

(c)主干道方向红灯亮,支干道方向绿灯亮。

(d)主干道方向红灯亮,支干道方向黄灯亮。

(2)主干道有车,支干道没车:

(a)主干道方向绿灯亮,支干道方向红灯亮。

(b)主干道方向绿灯亮,直到支干道有车。

(3)主干道没车,支干道有车:

主干道方向红灯亮,支道干方向绿灯亮,直到主干道有车。

应满足的工作时序:

(1)交替通车时,本系统应满足两个工作时序:

(a)主干道方向亮红灯时间(30秒)应等于支道方向亮黄灯(5秒)和绿灯(25秒)时间之和,支道方向亮红灯时间(50秒)应等于主道方向亮黄(5秒)和绿灯(45秒)时间之和。

(b)一次循环为80秒,其中红灯亮的时间是绿灯和黄灯亮的时间之和。

因此,设计如下:

(1)系统中要求有45秒、25秒和5秒的三种定时信号,设计三种相应的计时显示器电路,计时顺序用倒计时。定时的起始信号由主控电路给出,定时时间结束的信号也输入主控电路,并通过主控电路去开启和关闭信号灯或启动另一种计时电路。

(2)系统要求定时显示电路的输入信号为秒脉冲信号,设计一个可以实现秒脉冲输出的时基电路。

(3)主控电路是整个电路的核心,它的输入信号来自45秒、25秒和5秒三个定时信号。主控电路可以控制各种信号灯的开启和关闭,并反馈信号给计时电路,触发与亮着的信号灯相应的定时电路,使其显示相应时间。

2.3 总体方框图

图2-3 总体方框图

2.4 工作原理

本次设计的交通灯需要有三种时间周期,利用两片74LS192级联成 100进制的计数电路,用一片 74LS161 的 Q A ,Q B 两个输出端的 00,01,10,11 四个输出状态配合部分门电路控制74LS192的清零端,以此实现三种周期控制,同时74LS161的脉冲输入端与7SLS192清零端,在清零的同时改变74LS161的输出,进入下一个计数周期。

本电路采用NE555定时器对电路输入脉冲,所产生的脉冲信号与计数器74LS161合作构成定时器。计数器两个74LS192的输出端经门电路对其进行选择,依次在45秒、25秒和5秒时产生的信号作为循环电路的脉冲信号,从74LS161输出信号结合集成电路控制发光二极管相应状态的转换。

同时可以利用单刀双掷开关控制74LS161的清零端实现让主干道处于常允许通行的状态,支干道有车时才允许通行的技术要求。 2.5 电路特点

本次设计的电路主要有以下特点: 1、思路简单,所用门电路少。

2、可控制性强,由于采用74LS192控制周期,比采用门电路的控制方法更科学,方便修改周期或者增减周期。

3、对于发挥部分控制性强,可以轻松做到控制主干道绿灯处于常亮状态。

主控开关

开关电路 时基电路

门 电 路 主

干 道

计时电路

数码显示

干 道

3 单元电路设计

3.1 时钟单元电路设计

脉冲发生器是由NE555定时器构成的多谐振荡器,因为控制系统是以秒作为单位,所以用秒脉冲发生器,且其对信号的精度要求不高,这里选用NE555定时器来构成。NE555

定时器组成的秒脉冲CP

1的周期为:T=ln2(R

1

+2R

2

)*C,振荡频率:f=1/T,占空比:

Q=Tw

1/(Tw

1

*Tw

2

)=(R

1

+R

2

/R

1

+2R

2

), C

1

=10nF,T=1s,令C

2

=10uF,R

1

=2R

2

,R

1

=36kΩ,R

2

=72kΩ。

根据计算结果,脉冲发生器设计如下图:

图3-1 NE555振荡电路

它向计数电路提供的秒计时CP脉冲。

3.2 数码管显示电路设计

显示电路作为定时控制器。秒信号发生器用于产生整个定时系统的时基脉冲,通过74LS192减法计数器对秒脉冲进行减计数,以达到显示每一种工作状态的持续时间。数码管显示电路由BCD七段译码管、74LS192和CD4511组成。

(1)74LS192简要说明

CLR为异步清零端,LD为置数控制端,P和T使能端,CP为时钟输入端,ABCD为并行

置数输入端,Q

A Q

B

Q

C

Q

D

为输出端,当清零端CR=“0”,计数器输出Q

3

、Q

2

、Q

1

、Q

立即为全“0”,

这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161

输出端Q

3、Q

2

、Q

1

、Q

的状态分别与并行数据输入端D

3

,D

2

,D

1

,D

的状态一样,为同步置

数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有

一个进位输出端C

O ,其逻辑关系是C

O

= Q

·Q

1

·Q

2

·Q

3

·CET。合理应用计数器的清零功能

和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

(2)CD4511简要说明

CD4511是一个用于驱动共阴极LED数码管显示器的 BCD 码—七段码译码器。由于CD4511内部接有上拉电阻,译码器在较低工作电压情况下,如果数码管工作电流允许,可以将译码器输出直接连接到数码管上。工作电流过大时,为了保护数码管不被损坏,应接入限流电阻工作。

图3-2 CD4511功能图

其功能介绍如下:

BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。

LE:锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4为8421BCD码输入端。

a、b、c、d、e、f、g:为译码输出端,输出为高电平有效。

CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻即可工作。

CD4511功能表如表所示:

表3-1 CD4511功能表

输入输出LE BI LI D C B A a b c d e f g 显示××0 ×××× 1 1 1 1 1 1 1 8 ×0 1 ××××0 0 0 0 0 0 0 消隐

0 1 1 0 0 0 0 1 1 1 1 1 1 0 0

0 1 1 0 0 0 1 0 1 1 0 0 0 0 1

0 1 1 0 0 1 0 1 1 0 1 1 0 1 2

0 1 1 0 0 1 1 1 1 1 1 0 0 1 3

0 1 1 0 1 0 0 0 1 1 0 0 1 1 4

0 1 1 0 1 0 1 1 0 1 1 0 1 1 5

0 1 1 0 1 1 0 0 0 1 1 1 1 1 6

0 1 1 0 1 1 1 1 1 1 0 0 0 0 7

0 1 1 1 0 0 0 1 1 1 1 1 1 1 8

0 1 1 1 0 0 1 1 1 1 0 0 1 1 9

0 1 1 1 0 1 0 0 0 0 0 0 0 0 消隐

0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐

0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐

0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐

0 1 1 1 1 1 0 0 0 0 0 0 0 0 消隐

0 1 1 1 1 1 1 0 0 0 0 0 0 0 消隐

1 1 1 ××××锁存锁存

(3)数码管简要说明:

LED数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些笔段分别由字母a,b,c,d,e,f,g,dp来表示。当数码管特定的笔段加上电压后,这些特定的笔段就会发亮,以形成我们眼睛看到的字样。如:显示一个“2”字,那么应当是a亮b 亮g亮e亮d亮f不亮c不亮dp不亮。LED数码管有一般亮和超亮等不同之分,也有0.5寸和1寸等不同的尺寸。小尺寸数码管的显示笔画常用一个发光二极管组成,而大尺寸的

数码管由二个或多个发光二极管组成,一般情况下,单个发光二极管的管压降为1.8V左右,电流不超过30mA。发光二极管的阳极与电源正极连接到一起的称为共阳数码管,发光二极管的阴极与电源负极连接到一起的称为共阴数码管。常用LED数码管显示的数字和字符是0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F。

图3-3 数码管各引脚

由此可得,数码管显示电路电路如图所示:

图3-4 数码显示电路

3.3 译码器显示电路设计

74LS138译码器是二进制译码器,共有三个选择输入端,分别是A

0,A

1

,A

2

;Y

至Y

7

八个译码输出端均为低有效,被译到的输出端为低电平;三个控制端为G

1、 G

2

A、 G

2

B, G

2

A

和 G

2B为低电平有效。只有当G

1

为“1”且G

2

A、 G

2

B均为“0”时, 译码器有效,处于译

码工作状态; 否则, 译码器为禁禁止状,八个输出端均为高电平。

表3-2 74LS138译码器真值表控制端选择端输出端

G 1G

2

A G

2

B A

2

A

1

A

Y

Y

1

Y

2

Y

3

Y

4

Y

5

Y

6

Y

7

1 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 1 1 0 1 1 1 1 1 0 0 1 0 0 1 1 1 1 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 1 1 0 1 1 0 0 1 1 1 1 1 1 1 1 1 1 0 0 ××××× 1 1 1 1 1 1 1 1 × 1 ×××× 1 1 1 1 1 1 1 1 ×× 1 ××× 1 1 1 1 1 1 1 1 集成门电路主要用到74LS04、74LS08、74LS32。

显示电路:

图3-5 译码显示电路

3.4 主控电路设计

交通灯的主控电路是一个时序电路,输入信号为:车辆检测信号(传感器信号)设为A、B,三个定时信号45s、25s、5s分别设为C、D、E。

控制器的状态转换表如表所示:

表3-3 控制器状态转换表

状态主干道支干道时间

S0绿灯亮,允许通行红灯亮,禁止通行45s

S1黄灯亮,停车红灯亮,禁止通行5s

S2红灯亮,禁止通行绿灯亮,允许通行25s

S3红灯亮,禁止通行黄灯亮,停车5s A=0时,主干道无车,A=1时,主干道有车;B=0时,支干道无车,B=1时,支干道有车;C=0时,45s定时未到,C=1时,45s定时到;D=0时,25s定时未到,D=1时,25s定时到;E=0时,5s定时未到,E=1时,5s定时到。

状态编码:S

0=00,S

1

=01,S

2

=11,S

3

=10。

赋值后的状态转换表如表所示:

表3-4 赋值后的状态转换表

A B C D E Q2n Q1n Q2n-1Q1n-1说明

×0 ×××0 0 0 0

维持S0 1 1 0 ××0 0 0 0

0 1 ×××0 0 0 1

由S0→S1 1 1 1 ××0 1 0 1

××××0 0 1 0 1 维持S1

×××× 1 0 1 1 1

由S1→S2 1 1 ×0 × 1 1 1 1

0 1 ××× 1 1 1 1 维持S2

×0 ××× 1 1 1 0

由S2→S3 1 1 × 1 × 1 0 1 0

××××0 1 0 1 0 维S3×××× 1 1 0 0 0 由S3→S0

主要控制电路如图所示:

图3-6 主控电路

3.5 交通信号灯电路设计

交通信号灯采用红、黄、绿三种颜色的发光二极管,共阳极接法,并连接分别接入保护电阻,避免电流过大时二极管造成损坏。

图3-7 交通信号灯电路

12

4 原理总图

U8

74LS161D

QA 14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5D

6

ENP 7ENT 10~LOAD 9~CLR 1

CLK

2

VCC 5V

支干检测键 = 空格

VCC 5V

U9

74LS138D

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

Y R G

2

13

R5330Ω

R4330Ω

R3330Ω

R8330Ω

R7330Ω

R6330ΩU7

74LS04D

1A

1Y 2A 2Y 3A 3Y GND 4Y 4A 5Y 5A 6Y 6A VCC U5

4511BD_5V

DA 7DB 1DC 2DD 6OA 13OD 10OE 9OF 15OC 11OB 12OG

14

~EL 5~BI 4~LT

3

U6

4511BD_5V

DA 7DB 1DC 2DD 6OA 13OD 10OE 9OF 15OC 11OB 12OG

14

~EL 5~BI 4~LT

3

U12

A B C D E F G

CK

U11

A B C D E F G CK

330Ω

330Ω

U1074LS08D

1A 1B 1Y 2A 2B 2Y G N D 3Y

3A 3B 4Y 4A 4B V C C R172kΩ

R236kΩ

C110nF

C210μF U1

LM555CM

GND 1DIS 7

OUT

3

RST 4VCC

8THR 6CON

5

TRI 2VCC

5V

U2

74LS32D 1A 1B 1Y 2A 2B 2Y GND 3Y

3A 3B 4Y 4A 4B VCC U3

74LS192D

A 15

B 1

C 10D

9

UP 5QA 3QB 2QC 6QD 7DOWN 4~LOAD 11~BO 13~CO

12

CLR 14GND

8

VCC 16U4

74LS192D

A 15

B 1

C 10D

9

UP 5QA 3QB 2QC 6QD 7DOWN 4~LOAD 11~BO 13~CO

12

CLR 14GND

8

VCC 16

图4-1交通灯控制器原理总图

5 元器件清单

表5-1 元器件清单

器件名称型号数量(个)器件名称型号数量(个)共阴数码管DCD_HEX_DIG_GREE 2 驱动器CD4511 2 计数器74LS192 2 译码器74LS138 1 计数器74LS161 1 电阻330Ω20

脉冲发生器555脉冲触发 1 电阻36kΩ 1

两脚与门74LS08 1 电阻72kΩ 1 或门74LS32 1 发光二极管绿 2 非门74LS04 1 发光二极管红 2 电容10uF/10V 1 发光二极管黄 2 电容10nF 1 开关按钮 1

6 安装与调试

为使电路便于调试我们采用分块调试检测的方法。

6.1 通电前检查

电路安装完毕后,经检查电路各部分接线正确,电源、元器件之间无短路,器件无接错现象。

6.2 通电检查

6.2.1显示电路的调试

首先将脉冲和74LS192计数与显示模块断开,给电路两端接5V直流电压,并接1秒脉冲。理论上显示器显示正确循环时间。

6.2.2 74LS161清零模块的调试

将74LS161模块与74LS138之间的电路连接起来,并给电路两端接5V直流电压,接1秒脉冲。理论上系统在显示45s、5s、25s、5s后会自动清零,重新循环显示。

6.2.3 NE555时钟电路的调试

断开其他单元,将R

1,R

2

,C按照多谐振荡器接法与NE555脉冲触发连接,利用示波

器观察电路输出波形是否是周期为1秒的方波。

6.2.4 74LS04非门的调试

利用TTL特性,悬空输入为1,断开电路其他部分,只提供工作电压,再将其中任意一个输入接地,再次测量,输出应为1。

6.2.5 74LS08与门的调试

利用TTL特性,悬空输入为1,断开电路其他部分,只提供工作电压,再将其中任意一个输入接地,另一个输入不管接低电平还是高电平,再次测量,输出应为0。

6.2.6 74LS138译码器的调试

74LS138的八个输出端均为低有效,当输出端全为高电平时,译码器处于不工作状态,当输出端只有一个为低电平,其余七个输出端全为高电平时,译码器有效,处于译码工作状态。如果出现两个输出端同时为低电平的情况,说明该芯片已经损坏。

6.2.7 74LS32或门的调试

利用TTL特性,悬空输入为1,断开电路其他部分,只提供工作电压,再将其中任意一个输入接+5V,另一个输入不管接低电平还是高电平,再次测量,输出应为1。

6.2.8发光二极管的调试

未接电源时,二极管的正负极判断方法:万用表打到二极管挡上,然后用万用表的表笔分别接到二极管的两个极上。当红表笔接触的是二极管的正极,黑表笔接触的是二极管的负极,即二极管处于正向接法时,二极管导通,阻值较小,此时二极管发出微弱的亮光;当黑表笔接触的是二极管的正极,红表笔接触的是二极管的负极,即二极管处在反向接法时,二极管截止,阻值很大,此时二极管不发光。

6.2.9 按钮开关的检查

首先将按钮开关和其他电路模块断开,只留按钮开关部分。理论上对于单刀双掷按钮开关断开状态只有两个引脚会导通,当按下开关,公共端会和另外一个引脚导通,用数字万用表测量电压判断公共端。

6.3 结果分析

当按钮开关S断开即接地时,表示支干道两个方向都没有车辆的时候,电路进入主干道常亮阶段即主干道有车,支干道没车:

(1)主干道方向绿灯亮,支干道方向红灯亮。

(2)主干道方向绿灯亮,直到支干道有车。

当按钮开关S按下即接+5V时,电路进入主、支干道循环工作状态即主支干道都有车:(1)主干道方向绿灯亮,支干道方向红灯亮。

(2)主干道方向黄灯亮,支干道方向红灯亮。

(3)主干道方向红灯亮,支干道方向绿灯亮。

(4)主干道方向红灯亮,支干道方向黄灯亮。

且主干道方向亮红灯时间(30秒)等于支道方向亮黄灯(5秒)和绿灯(25秒)时间之和,支道方向亮红灯时间(50秒)等于主道方向亮黄(5秒)和绿灯(45秒)时间之和。

7 总结

本次课程设计多用数字电子技术知识,在将理论设计实现的过程中考虑了很多其他的因素,比如脉冲触发周期以及驱动电压等。在设计电路是遇到很多困难,很多是由于数电知识理论储备不足,后经查阅资料,了解其理论知识,电路设计得以实现,完成multisim 仿真。

7.1 本方案特点及存在的问题

本方案特点是周期稳定,电路原理较为简单,实现容易,各部分电路功能明确,很容易理解和读懂。各个部分电路单元之间紧密联系,环环相扣而又不失逻辑性。

存在的问题和不足:当按钮按下,主干道45秒倒计时,与现实生活中的交通灯有一定差异,同时应需给显示电路中另外接入两个数码管,使主干道和支干道独立显示,进一步完善交通灯显示功能。

7.2总结体会

根据老师给出的题目我们选择题目,查找资料,设计方案,进行方案论证,选择方案,系统设计,基本电路设计,电路焊接及调试,在规定的时间内完成了作品,并完成设计报告。

开始拿到题目的时候,还不知道怎么做,因为自己对所学的知识还没有真正的运用过,对数字电路中所涉及很多芯片的功能还不是很清楚,还有multisim仿真软件,以前从来没有接触过,它的使用还不是很清楚。所以开始的时候花费了大量的时间去查阅资料了解这些基本器件的功能同时学习multisim仿真软件。

在课程设计的焊接过程中,经常会遇到一些问题,比如接错线,无意中漏接一些线,芯片控制端无输入时应接地,所有芯片都应接入电源等,由于自己还不够细心,在诸多细节上浪费了大量时间。

这次课程设计之后,我明白了做任何事情都要认真仔细,不然会事倍功半。课程设计有利于提高我们的动手能力,能使我们将理论与实际相结合,增强了我们对知识的运用。同时也使我意识到一个良好的设计思路,是电路的生命。宁愿在思路设计上多花时间,因为良好设计方案恰恰是后期制作成功的保障,更能节省时间。同样在焊接过程中,也马虎

不得。课设要求我们充分的运用知识,所以要充分利用网上的资料,图书馆的资源,以及一切可以利用的资源,来添补我们知识上的盲区,使我们的知识更系统化,完善化。

本次课设是以个人为单位完成,但由于自己知识有限,而且在实际应用中存在一些问题,但最终通过查阅资料,请教老师及同学最后找到解决问题的最优方案,完成了设计任务,使自己的作品较好地达到预期要求。

通过本次的电子技术课程设计,使自己将以前所学书本的知识变成了自己亲手做的实物,不仅完成了课程设计任务,更使自己第一次将理论知识充分的利用到实践当中,其中的酸甜苦辣,欢欣喜悦,也只有自己能够体会,感触颇深。纸上得来终觉浅,绝知此事要躬行,平时的课堂远不能满足实际的需要,在将来的学习生活中,我们更应走出书本,走出课堂,从生活中发现问题,通过自己查阅书本资料,请教老师同学解决实际问题,在此过程中,不仅锻炼了自己的独立思维能力和实践动手能力,更能强化自身的知识,使其真正变成为我们学习所用的工具。

参考文献

【1】阎石.《数字电子技术基础》(第五版).高等教育出版社.2005

【2】宁铎.《电子技术课程设计》(第一版).陕西人民出版社,2002

【3】许小军.《电子技术实验与课程设计指导》(数字电路分册)(第一版).

东南大学出版社.2005

【4】李志健. 数字电子技术基础实验任务书.陕西科技大学教务处.2007

【5】杨刚、周群.电子系统设计与实践.电子工业出版社.2004

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

微机原理课程设计报告交通灯

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

设计题目 交通灯控制器设计

广西科技大学 单片机技术课程设计报告 课程:单片机技术 题目: 学院: 专业: 姓名: 学号: 指导老师: 完成时间: 成绩评定 设计报告得分S1:(百分制) 平时考勤得分S2:(百分制) 问题回答得分S3:(百分制) 总成绩:(S1×0.6+S2×0.2+ S3×0.2) 指导教师签字年月日

摘要 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C52单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。 关键词:交通灯单片机数码管

目录 1.概述 (1) 2系统总体方案及硬件设计 (2) 2.1设计内容 (2) 2.2 设计要求 (2) 2.3 总体设计思想 (2) 2.4 设计参考 (2) 2.5 知识点准备 (2) 3各模块设计 (3) 3.1设计项目简介 (3) 3.2总体设计 (3) 3.3硬件设计 (3) 3.4软件设计 (9) 4软件仿真 (12) 5课程设计体会 (13) 参考文献 (14) 附录一程序清单 (15) 附录二系统原理图 (21)

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

交通灯设计报告

设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

状态1 甲车道黄灯亮 乙车道红灯亮 OO O 两方向车道的交通灯的运行状态共有 4种,如图2所 示,它们转换到数子状 态如下图。 TF:表示甲车道或乙车道红灯亮的时间间隔为 25秒,当TF=0时,甲车道为 红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车 道禁止 通行。此时TF=O,TS=0绿灯亮足规定的时间隔20s ,倒计时计数器发出状态转 换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 5s 时,倒计时计数器发出状态转换信号使 TF=1, TS=O,使控制器控制译码器 转到下一工作状态。 (3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车 辆允许通行,绿灯亮足规定的时间间隔 20s 时,倒计时计数器发出状态转换信 号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通 行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使 TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作 状态。 因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争 冒险的问题,所以可设计为当计数器为 00时即发生信号灯的转换,当信号灯 甲车道绿灯亮 乙车道红灯亮 000 以态2 甲车道红灯亮 乙车道碌灯亮 2- 图 ? 00 r 状态3 甲车直红*1亮 乙车道就侯 TS=O TS=1 TF=0 T 状态0 状态1 状态2 状态3

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

智能交通灯控制器的设计报告

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

单片机课程设计报告 - 十字路口交通灯控制

宁夏大学新华学院课程考核

绪论 主要内容: 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C51单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。

基本要求: 利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。 系统的工作应符合一般交通灯控制的要求。 参考文献: [1] 张毅刚,彭喜元编著.《单片机原理与应用设计》 [2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》 [3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。 [4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。

基于EDA十字路口交通灯控制器设计

十字路口交通灯控制器设计 一、实验目的 1、进一步加强经典状态机的设计 2、学会设计模可变倒计时计数器 二、实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三、实验思路 1、设计一个状态寄存器,控制六盏灯的亮与灭 2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求: 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数 3、使用文本设计底层文件,并生成相应元器件,再使用原理图设计顶层文件 四、实验步骤 1、建立工作库文件夹和编辑设计文件 1)建立一个文件夹保存工程文件; 2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入以下程序 控制6盏灯的模块代码: LIBRARY IEEE;

交通灯控制器___EDA课程设计实验报告

目录 1课程设计要求 (3) 2 电路功能描述 (3) 3 设计方案 (3) 4设计原理图 (4) 5 VHDL语言 (4) 6仿真截图 (6) 7心得体会 (11) 8参考文献 (11)

1. 课程设计要求 1.1.红、黄、绿灯分别控制显示; 1.2.每一个状态分别分配一个时间显示(两位十进制,倒计时); 1.3.符合实际交通规律。 2.电路功能描述 本设计是实现交通灯的控制,模拟实现了红、绿、黄灯指挥交通的功能。本设计适用东西和南北方向的车流量大致相同的路口,红灯显示时间30S,绿灯显示时间25S,黄灯显示时间5S,同时用数码管指示当前的状态(红、绿、黄灯)的剩余时间。当有紧急状况发生时,两个方向都禁止通行,并且显示红灯,当紧急状况解除后,重新计时并且指示时间。 3.设计方案 根据设计要求,需要控制显示红、黄、绿三个灯的亮灭状态及显示的时间。这个设计主要由两部分组成,红黄绿灯的显示模块,显示时间模块。由实际的交通情况可知,东西方向的显示情况是一致的,南北方向的显示情况也是一致,故在设计的时候就只考虑两种状态,将东西方向合成一种,南北方向合成一种。红黄绿灯的显示模块用两组共6个灯显示,时间显示模块用LED数码管显示。 此外,本交通灯控制器设置的红黄绿显示方式是参照一些城市的显示规律,红灯30S,绿灯25S,黄灯5S,同时用数码管指示当前状(红、绿、黄灯)的剩余时间。另外还设有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。时间采用倒计时的方式显示。 本设计采用VHDL语言编程,描述各个硬件模块实现的功能,使红、黄、绿灯的转换有一个准确的转换顺序和时间间隔,并进行仿真,通过仿真的结果,得出实验的结果。 在正常情况下的一个完整周期内,交通灯控制器系统一共有四种状态,分别是东西红、南北绿,东西红、南北黄,东西绿、南北红,东西黄、南北红。其运行方式为东西红、南北绿→东西红、南北黄→东西绿、南北红→东西黄、南北绿,东西黄、南北绿结束后再回到东西红、南北绿的状态,整个周期持续60s。urgency 为紧急控制信号,为高电平时系统转换为东西南北均是红灯亮的状态,状态结束后系统重新设置,转换为东西红,南北绿的状态。

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用Multisim软 件仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题、解决问题以及团队协作能力。巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题,巩固课程中所学的理论知识和实验技能。 二、设计要求 1、设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 5、假定+5V电源给定。 三、总体概要设计 设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都为45s,每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的总体设计方案如下图所示所示。90进制加法计数器作为该系统的主控制电路,控制东西方向和南北方向交通的及LED显示,秒信号发生器产生整个定时系统的时间脉冲,通过加法计数器对秒脉冲加计数,当到达固定时刻,控制LED显示的减法计数器进行数制转换,交通灯做出相应的变化。 交通灯控制系统的总体设计方案 电

电路流程图 四、局部细节设计 一、秒脉冲电路部分

二、主控电路(89进制加法计数器)部分 三、东西方向减法计数器及LED显示部分

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

相关文档
最新文档