WIN7 USB 无法 自动 识别 通用串行总线控制器

WIN7 USB 无法 自动 识别 通用串行总线控制器
WIN7 USB 无法 自动 识别 通用串行总线控制器

WIN7 USB 无法自动识别通用串行总线控制器

非常高兴的下了一个U盘量产工具,把U盘救活了。结果第二天电脑开机,发现除了鼠标之外的所有USB都不能使用,发现USB口有供电,进设备管理器发现串行总线控制器基本全部显示黄色叹号。把黄色的禁用再启用,USB就可以识别,但是重启之后失效,依然是黄色。XP下完全正常,进WIN7就这样。网上找了N 久的办法。

1:电脑断电,无效。(这个办法是以前笔记本上的一个解决办法,把笔记本的电池都卸掉,然后再装上开机,N多人说神奇的好了,但是我的台机无效)

2:调BIOS,扣主板电池,都无效。

3:修复系统,无效(当然重装肯定是有效的)

最后终于发现一个办法开始-运行-输入‘regedit’,点菜单上的编辑-查找-

输入‘Universal Serial Bus controllers(通用串行总线控制器)’,找到一个就看右边窗口有没有“upperfilter”项或“lowerfilter”项,有的话就删除,直到搜索完注册表把所有这样的项删除完毕,然后进入设备管理器中把通用串行总线控制器下面的所有带叹号的设备都删除,重新扫描硬件自动安装驱动就解决问题了。

PS:我的系统WIN7 64 位旗舰版。XP下参考下面:

方法:打开注册表编辑器(开始--》运行--》regedit),依次展开

HKEY_LOCAL_MACHINE\SYSTEM\CurrentControlSet\Control\Class\在这下面有

很多用“{}”括起来的项,一个一个的点开,看右面窗口有没有“通用串行总线控制器(Universal Serial Bus controllers)”这些文字,在右面窗口找到“upperfilter”项或“lowerfilter”项,并删除,然后进入设备管理器中把通用串行总线控制器下面的所有带叹号的设备都删除,重新扫描硬件安装即可恢复。

详解SPI总线应用

详解SPI总线规范 SPI是英文Serial Peripheral Interface的缩写,中文意思是串行外围设备接口,SPI是Motorola公司推出的一种同步串行通讯方式,是一种三线同步总线,因其硬件功能很强,与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。 SPI概述 SPI:高速同步串行口。3~4线接口,收发独立、可同步进行. SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCX X系列处理器上定义的。SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现在越来越多的芯片集成了这种通信协议,比如AT91RM9200. SPI总线系统是一种同步串行外设接口,它可以使MCU与各种外围设备以串行方式进行通信以交换信息。外围设置FLASH RAM、网络控制器、LCD显示驱动器、A/D转换器和MCU等。SPI总线系统可直接与各个厂家生产的多种标准外围器件直接接口,该接口一般使用4条线:串行时钟线(SCK)、主机输入/从机输出数据线MISO、主机输出/从机输入数据线MOSI和低电平有效的从机选择线SS(有的SPI接口芯片带有中断信号线INT或INT、有的SPI接口芯片没有主机输出/从机输入数据线MOSI)。 SPI的通信原理很简单,它以主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(单向传输时)。也是所有基于SPI的设备共有的,它们是SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)。 (1)SDO –主设备数据输出,从设备数据输入 (2)SDI –主设备数据输入,从设备数据输出 (3)SCLK –时钟信号,由主设备产生 (4)CS –从设备使能信号,由主设备控制 其中CS是控制芯片是否被选中的,也就是说只有片选信号为预先规定的使能信号时(高电位或低电位),对此芯片的操作才有效。这就允许在同一总线上连接多个SPI设备成为可能。 接下来就负责通讯的3根线了。通讯是通过数据交换完成的,这里先要知道SPI是串行通讯协议,也就是说数据是一位一位的传输的。这就是SCK时钟线存在的原因,由SCK提供时钟脉冲,SDI,SDO则基于此脉冲完成数据传输。数据输出通过SDO线,数据在时钟上升沿或下降沿时改变,在紧接着的下降沿或上升沿被读取。完成一位数据传输,输入也使用同样原理。这样,在至少8次时钟信号的改变(上沿和下沿为一次),就可以完成8位数据的传输。 要注意的是,SCK信号线只由主设备控制,从设备不能控制信号线。同样,在一个基于SPI的设备中,至少有一个主控设备。这样传输的特点:这样的传输方式有一个优点,与普通的串行通讯不同,普通的串行通讯一次连续传送至少8位数据,而SPI允许数据一位一位的传送,甚至允许暂停,因为SCK时钟线由主控设备控制,当没有时钟跳变时,从设备不采集或传送数据。也就是说,主设备通过对SCK时钟线的控制可以完成对通讯的控制。SPI还是一个数据交换协议:因为SPI的数据输入和输出线独立,所以允许同时完成数据的输入和输出。不同的SPI设备的实现方式不尽相同,主要是数据改变和采集的时间不同,在时钟信号上沿或下沿采集有不同定义,具体请参考相关器件的文档。

SPIICUARTUSB串行总线协议的区别

S P I、I2C、U A R T、U S B串行总线协议的区别 SPI、I2C、UART三种串行总线协议的区别 第一个区别当然是名字: SPI(Serial Peripheral Interface:串行外设接口); I2C(INTER IC BUS) UART(Universal Asynchronous Receiver Transmitter:通用 异步收发器) 第二,区别在电气信号线上: SPI总线由三条信号线组成:串行时钟(SCLK)、串行数据输出( SDO)、串行数据输入(SDI)。SPI总线可以实现多个SPI设备互 相连接。提供SPI串行时钟的SPI设备为SPI主机或主设备(Mast er),其他设备为SPI从机或从设备(Slave)。主从设备间可以 实现全双工通信,当有多个从设备时,还可以增加一条从设备 选择线。 如果用通用IO口模拟SPI总线,必须要有一个输出口(SDO),

一 个输入口(SDI),另一个口则视实现的设备类型而定,如果 要 实现主从设备,则需输入输出口,若只实现主设备,则需输出 口即可,若只实现从设备,则只需输入口即可。 I2C总线是双向、两线(SCL、SDA)、串行、多主控(multi-mas ter)接口标准,具有总线仲裁机制,非常适合在器件之间 进 行近距离、非经常性的数据通信。在它的协议体系中,传输数 据时都会带上目的设备的设备地址,因此可以实现设备组网。如果用通用IO口模拟I2C总线,并实现双向传输,则需一 个输 入输出口(SDA),另外还需一个输出口(SCL)。(注:I2C资料了解得比较少,这里的描述可能很不完备) UART总线是异步串口,因此一般比前两种同步串口的结构要复 杂很多,一般由波特率产生器(产生的波特率等于传输波特 率 的16倍)、UART接收器、UART发送器组成,硬件上由两根线,

通用串行总线接口——USB

通用串行总线接口——USB 我相信大家都对USB有一定的了解吧。但是也不能排除有不懂的,不过没关系,下面我就把这一计算机外设接口技术——USB来个全面介绍。我以几个章节来介绍USB的概念、基本特性以及它的应用,让大家对USB有个全面的认识。 概念篇 由于多媒体技术的发展对外设与主机之间的数据传输率有了更高的需求,因此,USB 总线技术应运而生。USB(Universal Serial Bus),翻译为中文就是通用串行总线,是由Conpaq,DEC,IBM,Inter,Microsoft,NEC和Northen Telecom等公司为简化PC与外设之间的互连而共同研究开发的一种免费的标准化连接器,它支持各种PC与外设之间的连接,还可实现数字多媒体集成。 USB接口的主要特点是:即插即用,可热插拔。USB连接器将各种各样的外设I/O端口合而为一,使之可热插拔,具有自动配置能力,用户只要简单地将外设插入到PC以外的总线中,PC就能自动识别和配置USB设备。而且带宽更大,增加外设时无需在PC内添加接口卡,多个USB集线器可相互传送数据,使PC可以用全新的方式控制外设。USB可以自动检测和安装外设,实现真正的即插即用。而USB的另一个显著特点是支持“热”插拔,即不需要关机断电,也可以在正运行的电脑上插入或拔除一个USB设备。随着时间的推移,USB将成为PC的标准配置。基于USB的外设将逐渐增多,现在满足USB要求的外设有:调制解调器,键盘,鼠标,光驱,游戏手柄,软驱,扫描仪等,而非独立性I/O连接的外设将逐渐减少。即主机控制式外设减少,智能控制控制外设增多。USB 总线标准由1.1版升级到2.0版后,传输率由12Mbps增加到了240Mbps,更换介质后连接距离由原来的5米增加到近百米。基于这点,USB也可以做生产ISDN以及基于视频的产品。如数据手套的数字化仪提供数据接口。USB总线结构简单,信号定义仅由2条电源线,2条信号线组成。 基本特性 https://www.360docs.net/doc/955273800.html,B的硬件结构 USB采用四线电缆,其中两根是用来传送数据的串行通道,另两根为下游(Downstream)设备提供电源,对于高速且需要高带宽的外设,USB以全速12Mbps的传输数据;对于低速外设,USB则以1.5Mbps的传输速率来传输数据。USB总线会根据外设情况在两种传输模式中自动地动态转换。USB是基于令牌的总线。类似于令牌环网络或FDDI基于令牌的总线。USB主控制器广播令牌,总线上设备检测令牌中的地址是否与自身相符,通过接收或发送数据给主机来响应。USB通过支持悬挂/恢复操作来管理USB总线电源。USB系统采用级联星型拓扑,该拓扑由三个基本部分组成:主机(Host),集线器(Hub)和功能设备。 主机,也称为根,根结或根Hub,它做在主板上或作为适配卡安装在计算机上,主机包含有主控制器和根集线器(Root Hub),控制着USB总线上的数据和控制信息的流动,每个USB系统只能有一个根集线器,它连接在主控制器上。 集线器是USB结构中的特定成分,它提供叫做端口(Port)的点将设备连接到USB总线上,同时检测连接在总线上的设备,并为这些设备提供电源管理,负责总线的故障检测和恢复。集线可为总线提供能源,亦可为自身提供能源(从外部得到电源),自身提供能源的设备可插入总线提供能源的集线器中,但总线提供能源的设备不能插入自身提供能源的集线器或支持超过四个的下游端口中,如总线提供能源设备的需要超过100mA电源时,不能同总线提供电源的集线器连接。 功能设备通过端口与总线连接。USB同时可做Hub使用。

第七章 系统总线

7.2基本题 7.2.1填空题 1.计算机中各个功能部件是通过____连接的,它的各个部件之间进行信息传输的公共线路. 答案:总线. 考点: 2.CPU芯片内部的总线是____级总线,也称为内部总线. 答案:芯片 考点: 3.根据连线的数量,总线可分为__1__总线和__2__总线,其中__3__一般用于长距离的数据 传送. 答案:1.串行2.并行 3.串行总线 考点: 4.____只能将信息从总线的一端传到另一端,不能反向传输. 答案:单向总线 考点: 5.主设备是指__1__的设备,从设备是指__2__的设备. 答案:1.获得总线控制权2.被主设备访问 考点: 6.总线的控制方式可分为__1__式和__2__式两种. 答案:1.集中2.分布 考点: 7.总线数据通信方式按照传输定时的方法可分为__1__和__2__两类. 答案:1.同步式2.异步式 考点: 8.同步方式下,总线操作有固定的时序,设备之间__1__应答信号,数据的传输在__2__的时钟 信号控制下进行. 答案:1.没有2.一个公共 考点: 9.异步方式下,总线操作周期时间不顾顶,通过____信号相互联络. 答案:握手(就绪/应答) 考点: 10.双向传输的总线又可分为__1__和__2__两种,后者可以在两个方向上同时传送信息. 答案:1.双工2.半双工 考点: 11.决定总线由哪个设备进行控制称为__1__;实现总线数据的定时规则称为__2__. 答案:1.总线裁决2.总线协议 考点: 12.衡量总线性能的一个重要指标是总线的____,即单位时间内总线传输数据的能力. 答案:数据传输速率 考点: 13.与并行传输相比,串行串性传输所需数据线位数____. 答案:少

SPI、I2C、UART三种串行总线的原理、区别及应用

简单描述: SPI 和I2C这两种通信方式都是短距离的,芯片和芯片之间或者其他元器件如传感器和芯片之间的通信。SPI和IIC是板上通信,IIC有时也会做板间通信,不过距离甚短,不过超过一米,例如一些触摸屏,手机液晶屏那些很薄膜排线很多用IIC,I2C能用于替代标准的并行总线,能连接的各种集成电路和功能模块。I2C 是多主控总线,所以任何一个设备都能像主控器一样工作,并控制总线。总线上每一个设备都有一个独一无二的地址,根据设备它们自己的能力,它们可以作为发射器或接收器工作。多路微控制器能在同一个I2C总线上共存这两种线属于低速传输; 而UART是应用于两个设备之间的通信,如用单片机做好的设备和计算机的通信。这样的通信可以做长距离的。UART和,UART就是我们指的串口,速度比上面三者快,最高达100K左右,用与计算机与设备或者计算机和计算之间通信,但有效范围不会很长,约10米左右,UART优点是支持面广,程序设计结构很简单,随着USB的发展,UART也逐渐走向下坡; SmBus有点类似于USB设备跟计算机那样的短距离通信。 简单的狭义的说SPI和I2C是做在电路板上的。而UART和SMBUS是在机器外面连接两个机器的。 详细描述: 1、UART(TX,RX)就是两线,一根发送一根接收,可以全双工通信,线数也比较少。数据是异步传输的,对双方的时序要求比较严格,通信速度也不是很快。在多机通信上面用的最多。 2、SPI(CLK,I/O,O,CS)接口和上面UART相比,多了一条同步时钟线,上面UART 的缺点也就是它的优点了,对通信双方的时序要求不严格不同设备之间可以很容易结合,而且通信速度非常快。一般用在产品内部元件之间的高速数据通信上面,如大容量存储器等。 3、I2C(SCL,SDA)接口也是两线接口,它是两根线之间通过复杂的逻辑关系传输数据的,通信速度不高,程序写起来也比较复杂。一般单片机系统里主要用来和24C02等小容易存储器连接。 SPI:高速同步串行口。3~4线接口,收发独立、可同步进行 UART:通用异步串行口。按照标准波特率完成双向通讯,速度慢 SPI:一种串行传输方式,三线制,网上可找到其通信协议和用法的 3根线实现数据双向传输 串行外围接口 Serial peripheral interface UART:通用异步收发器 UART是用于控制计算机与串行设备的芯片。有一点要注意的是,它提供了

各类总线解析

查漏补缺-总线 以前在找工作的时候,每次笔试总会遇到各种总线协议什么的题目,每次都头大,不是没听到过,而是基本上都是了解但是不清晰的状态,需要查资料、翻书才能搞得清楚的。也没太在意,但是到了实际工作的时候,慢慢地发现它就变成一个疑难杂症了(因为他总是不能被记住,每到要的时候到处找资料),我觉得做技术的东西就是要把是事情做牢靠,把产品做稳定。那些个所谓的高科技、高技术含量的的东西,如果不稳定那就跟垃圾无异。 根据以前碰到的问题,经过查阅资料和一些自己的理解汇总如下,今天特地把他整理出来,大家如果觉得有必要的可以瞅瞅,不过高手就可以飘过了。 微机中总线一般有内部总线、系统总线和外部总线。内部总线是微机内部各外围芯片与处理器之间的总线,用于芯片一级的互连;而系统总线是微机中各插件板与系统板之间的总线,用于插件板一级的互连;外部总线则是微机和外部设备之间的总线,微机作为一种设备,通过该总线和其他设备进行信息与数据交换,它用于设备一级的互连。内部总线有以下几种类型。 1.1IIC总线 I2C串行总线一般有两根信号线,一根是双向的数据线SDA,另一根是时钟线SCL。所有接到I2C总线设备上的串行数据SDA都接到总线的SDA上,各设备的时钟线SCL接到总线的SCL上。 为了避免总线信号的混乱,要求各设备连接到总线的输出端时必须是漏极开路(OD)输出或集电极开路(OC)输出。设备上的串行数据线SDA接口电路应该是双向的,输出电路用于向总线上发送数据,输入电路用于接收总线上的数据。而串行时钟线也应是双向的,作为控制总线数据传送的主机,一方面要通过SCL输出电路发送时钟信号,另一方面还要检测总线上的SCL电平,以决定什么时候发送下一个时钟脉冲电平;作为接受主机命令的从机,要按总线上的SCL 信号发出或接收SDA上的信号,也可以向SCL线发出低电平信号以延长总线时钟信号周期。总线空闲时,因各设备都是开漏输出,上拉电阻Rp使SDA和SCL 线都保持高电平。任一设备输出的低电平都将使相应的总线信号线变低,也就是说:各设备的SDA是“与”关系,SCL也是“与”关系。

几种通信总线详尽总结

微处理器中常用的集成串行总线是通用异步 接收器传输总线(UART)、串行通信接口(SCI) 和通用串行总线(USB)等,这些总线在速度、 物理接口要求和通信方法学上都有所不同。本文详细介绍了嵌入式系统设计的串行总线、驱动器和物理接口的特性,并为总线最优选择提供性能比较和选择建议。 由于在消费类电子产品、计算机外设、汽车和工业应用中增加了嵌入式功能,对低成本、高速和高可靠通信介质的要求也不断增长以满足这些应用,其结果是越来越多的处理器和控制器用不同类型的总线集成在一起,实现与PC软件、开发系统(如仿真器)或网络中的其它设备进行通信。目前流行的通信一般采用串行或并行模式,而串行模式应用更广泛。 微处理器中常用的集成串行总线是通用异步接收器传输总线、串行通信接口、同步外设接口(SPI)、内部集成电路(I2C) 和通用串行总线,以及车用串行总线,包括控制器区域网(CAN)和本地互连网(LIN)。这些总线在速度、物理接口要求和通信方法学上都有所不同。本文将对嵌入式系统设计的串行总线、驱动器和物理接口这些要求提供一个总体介绍,为选择最优总线提供指导并给出一个比较图表(表1)。为了说明方便起见,本文的阐述是基于微处理器的设计。 串行与并行相比 串行相比于并行的主要优点是要求的线数较少。例如,用在汽车工业中的LIN 串行总线只需要一根线来与从属器件进行通信,Dallas公司的1-Wire总线只使用一根线来输送信号和电源。较少的线意味着所需要的控制器引脚较少。集成在一个微控制器中的并行总线一般需要8条或更多的线,线数的多少取决于设计中地址和数据的宽度,所以集成一个并行总线的芯片至少需要8个引脚来与外部器件接口,这增加了芯片的总体尺寸。相反地,使用串行总线可以将同样的芯片集成在一个较小的封装中。 另外,在PCB板设计中并行总线需要更多的线来与其它外设接口,使PCB板面积更大、更复杂,从而增加了硬件成本。此外,工程师还可以很容易地将一个新器件加到一个串行网络中去,而且不会影响网络中的其它器件。例如,可以很容易地去掉总线上旧器件并用新的来替代。

几大通信协议区别

I2C和SPI,UART的区别 2009-12-07 21:55 SPI--Serial Peripheral Interface,(Serial Peripheral Interface:串行外设接口)串行外围设备接口,是Motorola公司推出的一种同步串行通讯方式,是一种三线同步总线,因其硬件功能很强,与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。 I2C--INTER-IC(INTER IC BUS:意为IC之间总线)串行总线的缩写,是PHILIPS 公司推出的芯片间串行传输总线。它以1根串行数据线(SDA)和1根串行时钟线(SCL)实现了双工的同步数据传输。具有接口线少,控制方式简化,器件封装形式小,通信速率较高等优点。在主从通信中,可以有多个I2C总线器件同时接到I2C总线上,通过地址来识别通信对象。 能用于替代标准的并行总线,能连接的各种集成电路和功能模块。I2C是多主控总线,所以任何一个设备都能像主控器一样工作,并控制总线。总线上每一个设备都有一个独一无二的地址,根据设备它们自己的能力,它们可以作为发射器或接收器工作。多路微控制器能在同一个I2C总线上共存。 最主要的优点是其简单性和有效性。它支持多主控(multimastering),其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在任何时间点上只能有一个主控。 UART(Universal Asynchronous Receiver Transmitter:通用异步收发器):单端,远距离传输。大多数计算机包含两个基于RS232的串口。串口同时也是仪器仪表设备通用的通信协议;很多GPIB兼容的设备也带有RS-232口。同时,串口通信协议也可以用于获取远程采集设备的数据。串口通信的概念非常简单,串口按位(bit)发送和接收字节。尽管比按字节(byte)的并行通信慢,但是串口可以在使用一根线发送数据的同时用另一根线接收数据。它很简单并且能够实现远距离通信。比如IEEE488定义并行通行状态时,规定设备线总常不得超过20米,并且任意两个设备间的长度不得超过2米;而对于串口而言,长度可达1200米。----------------------------------------------------------------------------------------------------------------------------------- 区别在电气信号线上: SPI总线由三条信号线组成:串行时钟(SCLK)、串行数据输出(SDO)、串行数据输入(SDI)。SPI总线可以实现多个SPI设备互相连接。提供SPI串行时钟的SPI设备为SPI主机或主设备(Master),其他设备为SPI从机或从设备(Slave)。主从设备间可以实现全双工通信,当有多个从设备时,还可以增加一条从设备选择线。 如果用通用IO口模拟SPI总线,必须要有一个输出口(SDO),一个输入口(SDI),另一个口则视实现的设备类型而定,如果要实现主从设备,则需输入输出口,若只实现主设备,则需输出口即可,若只实现从设备,则只需输入口即可。

SPI、I2C、UART三种串行总线的区别

SPI、I2C、UART三种串行总线的区别 第一个区别当然是名字: SPI(Serial Peripheral Interface:串行外设接口); I2C(INTER IC BUS:意为IC之间总线) UART(Universal Asynchronous Receiver Transmitter:通用异步收发器) 第二,区别在电气信号线上: SPI总线由三条信号线组成:串行时钟(SCLK)、串行数据输出(SDO)、串行数据输入(SDI)。SPI总线可以实现多个SPI设备互相连接。提供SPI串行时钟的SPI 设备为SPI主机或主设备(Master),其他设备为SPI从机或从设备(Slave)。主从设备间可以实现全双工通信,当有多个从设备时,还可以增加一条从设备选择线。 如果用通用IO口模拟SPI总线,必须要有一个输出口(SDO),一个输入口(SDI),另一个口则视实现的设备类型而定,如果要实现主从设备,则需输入输出口,若只实现主设备,则需输出口即可,若只实现从设备,则只需输入口即可。 I2C总线是双向、两线(SCL、SDA)、串行、多主控(multi-master)接口标准,具有总线仲裁机制,非常适合在器件之间进行近距离、非经常性的数据通信。在它的协议体系中,传输数据时都会带上目的设备的设备地址,因此可以实现设备组网。 如果用通用IO口模拟I2C总线,并实现双向传输,则需一个输入输出口(SDA),另外还需一个输出口(SCL)。(注:I2C资料了解得比较少,这里的描述可能很不完备) UART总线是异步串口,因此一般比前两种同步串口的结构要复杂很多,一般由波特率产生器(产生的波特率等于传输波特率的16倍)、UART接收器、UART发送器组成,硬件上由两根线,一根用于发送,一根用于接收。 显然,如果用通用IO口模拟UART总线,则需一个输入口,一个输出口。 第三,从第二点明显可以看出,SPI和UART可以实现全双工,但I2C不行; 第四,看看牛人们的意见吧! wudanyu:I2C线更少,我觉得比UART、SPI更为强大,但是技术上也更加麻烦些,因为I2C需要有双向IO的支持,而且I2C使用上拉电阻,我觉得抗干扰能力较弱,一般用于同一板卡上芯片之间的通信,较少用于远距离通信。SPI实现要简单一些,UART需要固定的波特率,就是说两位数据的间隔要相等,而SPI 则无所谓,因为它是有时钟的协议。 quickmouse:I2C的速度比SPI慢一点,协议比SPI复杂一点,但是连线也比标准的SPI要少。

SPI、I2C、UART三种串行总线协议的区别

第一个区别当然是名字: SPI(Serial Peripheral Interface:串行外设接口); I2C(INTER IC BUS) UART(Universal Asynchronous Receiver Transmitter:通用异步收发器) 第二,区别在电气信号线上: SPI总线由三条信号线组成:串行时钟(SCLK)、串行数据输出(SDO)、串行数据输 入(SDI)。SPI总线可以实现多个SPI设备互相连接。提供SPI串行时钟的SPI设备为SPI主机或主设备(Master),其他设备为SPI从机或从设备(Slave)。主从设备间可以 实现全双工通信,当有多个从设备时,还可以增加一条从设备选择线。如果用通用IO 口模拟SPI总线,必须要有一个输出口(SDO),一个输入口(SDI),另一个口则视实现 的设备类型而定,如果要实现主从设备,则需输入输出口,若只实现主设备,则需输 出口即可,若只实现从设备,则只需输入口即可。 I2C总线是双向、两线(SCL、SDA)、串行、多主控(multi-master)接口标准,具有总线仲裁机制,非常适合在器件之间进行近距离、非经常性的数据通信。 在它的协议体系中,传输数据时都会带上目的设备的设备地址,因此可以实现 设备组网。如果用通用IO口模拟I2C总线,并实现双向传输,则需一个输入 输出口(SDA),另外还需一个输出口(SCL)。(注:I2C资料了解得比较少,这 里的描述可能很不完备) UART总线是异步串口,因此一般比前两种同步串口的结构要复杂很多,一般 由波特率产生器(产生的波特率等于传输波特率的16倍)、UART接收器、 UART发送器组成,硬件上由两根线,一根用于发送,一根用于接收。显然, 如果用通用IO口模拟UART总线,则需一个输入口,一个输出口。 第三,从第二点明显可以看出,SPI和UART可以实现全双工,但I2C不行; 第四,看看牛人们的意见吧! 1、I2C线更少,我觉得比UART、SPI更为强大,但是技术上也更加麻烦些,因为I2C需要有双向IO的支持,而且使用上拉电阻,我觉得抗干扰能力较弱,一般用于同一板卡上芯片之间的通信,较少用于远距离通信。SPI实现要简单 一些,UART需要固定的波特率,就是说两位数据的间隔要相等,而SPI则无 所谓,因为它是有时钟的协议。 2、I2C的速度比SPI慢一点,协议比SPI复杂一点,但是连线也比标准的SPI要少。

SPI串行总线接口的Verilog实现

SPI串行总线接口的Verilog实现 摘要:集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(Serial Peripheral Bus)总线是Motorola公司提出的一个同步串行外设接口,容许CPU 与各种外围接口器件以串行方式进行通信、交换信息。本文简述了SPI总线的特点,介绍了其4条信号线,SPI串行总线接口的典型应用。重点描述了SPI串行总线接口在一款802.11b芯片中的位置,及该接口作为基带和射频的通讯接口所完成的功能,并给出了用硬件描述语言Verilog HDL 实现该接口的部分程序。该实现已经在Modelsim 中完成了仿真, 并经过了FPGA 验证, 最后给出了仿真和验证的结果。 在SOC设计中,利用EDA 工具设计芯片实现系统功能已经成为支撑电子设计的通用平台.并逐步向支持系统级的设计方向发展。而且,在设计过程中,越来越强调模块化设计。 SPI总线是Motorola公司提出的一个同步串行外设接口,具有接口线少、通讯效率高等特点。本文给出的是利用Verilog HDL实现的SPI总线模块,该模块是802.11b无线局域网芯片中一个子模块,该模块完成了芯片中基带(base band)与RF的通讯工作. 1 SPI总线接口概述 SPI(Serial Parallel Bus)总线是Motorola公司提出的一个同步串行外设接口,允许CPU 与各种外围接口器件(包括模/数转换器、数/模转换器、液晶显示驱动器等)以串行方式进行通信、交换信息。他使用4条线:串行时钟线(SCK)、主机输入/从机输出线(MISO)、主机输出/从机输入线(MOSI)、低电平有效的使能信号线(CS)。这样,仅需3~4根数据线和控制线即可扩展具有SPI接口的各种I/O 器件其典型结构如图1所示。 SPI总线具有以下特点: (1)连线较少,简化电路设计。并行总线扩展方法通常需要8根数据线、8~16根地址线、2~3根控制线。而这种设计,仅需4根数据和控制线即可完成并行扩展所实现的功能。 (2)器件统一编址,并与系统地址无关,操作SPI独立性好。 (3)器件操作遵循统一的规范,使系统软硬件具有良好的通用性。 2 SPI总线接口的设计与实现 该模块是802.1lb无线局域网芯片中的一子模块,其在芯片中的位置如图2所示。

通用串行总线(USB)原理及接口设计

通用串行总线(USB)原理及接口设计 类别:接口电路阅读:1964 作者:广州五山华南理工大学电子与通信工程系98级硕士研究生(510641)刘炎冯穗力叶梧来源:《电子技术应用》 通用串行总线(USB)原理及接口设计摘要:以USB1.1为基础讨论了USB的基本原理、工作流程、通信协议和相应的关键技术,并介绍了一种USB接口的10M以太网卡的设计方案。已经发布的USB2.0支持480Mbps的高速数据传输,这将使PC可以通过USB接口传输更高速更大量的数据。还论述了USB2.0的改进和优点。关键词:通用串行总线(USB) 设备驱动程序WDM 通用串行总线USB(UniversalSerialBus)是Intel、Microsoft等大厂商为解决计算机外设种类的日益增加与有限的主板插槽和端口之间的矛盾而于1995年提出制定的。它是一种用于将适用USB的外围设备连接到主机的外部总线结构,主要用在中速和低速的外设。USB同时又是一种通信协议,支持主机和USB的外围设备之间的数据传输。目前较多设备支持的是USB1.1 1 ,最新的USB2.0 3 已于2000年4月正式发布。 USB设备具有较高的数据传输率、使用灵活、易扩展等优点。 USB1.1有全速和低速两种方式,低速方式的速率为1.5Mbps,支持一些不需要很大数据吞吐量和很高实时性的设备,如鼠标等;全速模式为12Mbps,可以外接速率更高的外设。在刚刚发布的USB2.0中,增加了一种高速方式,数据传输率达到480Mbps,可以满足更加高速的外设的需要。 安装USB设备不必打开主机箱,它支持即插即用(PlugandPlay) 和热插拔(HotPlug)。当插入USB设备的时候,主机检测该外设并且通过自动加载相关的驱动程序来对该设备进行配置,并使其正常工作。 1USB的结构与工作原理 1.1物理结构 USB的物理拓扑结构如图1所示。在USB2.0中,高速方式下Hub使全速和低速方式的信令环境独立出来,图2中显示了高速方式下Hub的作用。 通过使用集线器(Hub)扩展可外接多达127个外设。USB的电缆有四根线,两根传送的是5V的电源,另外的两根是数据线。功率不大的外围设备可以直接通过USB总线供电,而不必外接电源。USB总线最大可以提供5V500mA电流,并支持节约能源的挂机和唤醒模式。 1.2USB设备逻辑结构 USB的设备可以分成多个不同类型,同类型的设备可以拥有一些共同的行为特征和工作协议,这样可以

USB设备的识别以及驱动安装问题

USB设备的识别以及驱动安装问题 问题描述 USB设备的硬件ID简介以故障判断和驱动安装方法 解决方案 通用串行总线(Universal Serial Bus,USB)是我们目前经常使用的计算机接口,可以连接的设备也是多种多样的,在日常咨询中难免遇到产品相关或其他第三方USB设备安装驱动的问题,对于此类问题,我们应该如何处理呢? 硬件ID是电脑中每个硬件的一个编号,固化在硬件的芯片里,所有设备都有此类编号。所有测试软件都有可能会出错,只有硬件ID是最可靠的,只要确认好INF文件中包含需要的硬件ID,就可以保证驱动是可以用的。 对于USB相关ID的简介 常见的USB硬件ID格式:USB\Vid_xxxx&Pid_yyyy&Rev_zzzz其中Vid表示硬件厂商信息,Pid表示产品编号,对于一般驱动安装我们需要核实Vid,Pid信息,其中Vid的厂商对照表已经更新到《驱动下载&软件安装汇总》(知识库编号:30118)中以便于查询。 比如ThinkPad鼠标设备ID如上图通过VID_04B3,在《驱动下载&软件安装汇总》中查询,结果IBM Corp.表示是IBM授权的设备。 另外,其中的HID表示的是人体学接口设备(Human Interface Device, HID),目前USB设备常见的的有人体学接口设备(Human Interface Device,HID)、通信设备类(Communication Device Class,CDC)和大容量存储设备(Mass Storage Device,MSD)等几类设备,也可以从兼容ID中的Class字段来判断是什么类型的设备,如下图: 比如上图中的Class_03表示的就是HID设备,一般情况下典型代码为1,2,3,6,7,8,

IIC总线协议最佳理解

IIC总线协议 1)IIC总线的概念 IIC总线是一种串行总线,用于连接微控制器及其外围设备,具有以下特点: ①两条总线线路:一条串行数据线(SDA),一条串行时钟线(SCL) ②每个连接到总线的器件都可以使用软件更具它的唯一的地址来识别 ③传输数据的设备间是简单的主从关系 ④主机可以用作主机发送器或主机接收器 ⑤它是一个多主机总线,两个或多个主机同时发起数据传输时,可以通过冲突检测和仲裁来方式数据被破坏 ⑥串行的8位双向数据传输,位速率在标准模式下可达100kbit/s,在快速模式下可达400kbit/s,在高速模式下可达3.4Mbit/s ⑦片上的滤波器可以增加干扰功能,保证数据的完整 ⑧连接到同一总线上的IC数量受到总线最大电容的限制 发送器:发送数据到总线的器件 接收器:从总线接收数据的器件 主机:发起/停止数据传输、提供时钟信号的器件 从机:被主机寻址的器件 多主机:可以有多个主机试图去控制总线,但是不会破坏数据 仲裁:当多个主机试图去控制总线时,通过仲裁可以使得只有一个主机获得总线控制权,并且它传输的信息不会被破坏 同步:多个器件同步时钟信号的过程

I2C总线通过上拉电阻接正电源。当总线空闲时,两根线均为高电平。连到总线上的任一器件输出的低电平,都将使总线的信号变低,即各器件的SDA及SCL 都是线“与”关系。 每个接到I2C总线上的器件都有唯一的地址。主机与其它器件间的数据传送可以是由主机发送数据到其它器件,这时主机即为发送器。由总线上接收数据的器件则为接收器。 在多主机系统中,可能同时有几个主机企图启动总线传送数据。为了避免混乱, I2C总线要通过总线仲裁,以决定由哪一台主机控制总线。 在80C51单片机应用系统的串行总线扩展中,我们经常遇到的是以80C51单片机为主机,其它接口器件为从机的单主机情况。 数据位的有效性规定: I2C总线进行数据传送时,时钟信号为高电平期间,数据线上的数据必须保持稳定,只有在时钟线上的信号为低电平期间,数据线上的高电平或低电平状态

接口技术练习题

第五章 串行通信和可编程串行接口芯片 一·单项选择题 1. 与并行通信相比,串行通信适用于( ① )情况。 ① 远距离传送 ② 快速传送 ③近距离传送 ④ 传送信号要求高 2. 当芯片8251的=0 R W =O ,D /C =l 时,则( ① ) ①允许8251接受CPU 的命令字 ②8251向CPU 送状态字 ③CPU 往8251送数据 ④8251向CPU 送数据 3. 设串行异步通信时,数据传送的速率是400字符/秒,每个字符为12位二进制数据, 则传送的波特率是( ③ ) ① 12000 ②2400 ③ 4800 ④9600 4.串行接口芯片8251A ( ③ )。 ① 只能作异步传送 ②只能作同步传送 ③既能作异步传送又能能作同步传送 ④ 可作并行传送 5.串行接口中,并行数据和串行数据的转换是用( ② )来实现的。 ① 数据寄存器 ② 移位寄存器 ③ 锁存器 ④ A/D 转换器 6.串行异步通信的实现必须做到(③ )。 ①通信双方有同步时钟传送,以实现同步 ②一块数据传送结束时,用循环冗余校验码进行校验 ③以字符为传送信息的单位,按约定配上起始位、停止位和校验位 ④块与块之间用同步字符01111110隔开 7.在异步串行通信中若要传送扩展ASCII 码,则异步串行码字符格式第8位数据(④ )。 ① 不传送 ② 恒为0 ③恒为1 ④ 为有用数据 8.RS-232C 标准电气特性规定逻辑“0”电平为( ④ )。 ① 0~0.4V ② 0~0.8V ③ -3~ -15V ④ +3~+15V 9.在下列总线中,( ② )是一种串行总线接口。 ① PC/XT ② USB ③ PCI ④ ISA 10.在异步串行通信中,波特率是指( ① )。 ① 每秒钟传送的二进制位数 ②每秒钟传送的字节数 ③每秒钟传送的字符数 ④ 每秒钟传送的数据帧数 11. RS-232C 是一个( ③ )标准。 ① 片总线 ② 内总线 ③ 串行通信 ④电流环 12.8251A 异步工作,其数据格式中有8位数据位,1位偶校验位,2位停止位。要求每秒 传送1600个字符,需选用传送速率为( ③ )。 ①9600波特 ② 17600波特 ③ 19200波特 ④ 12800波特 13. 上题中若波特率系数等于16,则发送时钟或接收时钟频率是( ② )。 ①9.6 KHz ②307.2 KHz ③192 KHz ④153.6KHz 14. CPU 送给8251A 的并行数据,由( ① )串行发送给外设的. ①TXD 端 ②RXD 端 ③RXC 端 ④TXC 端 15. 下列不属于UART 通信错误标志的是(④)。 ①奇偶错误 ②帧错误 ③溢出错误 ④ 循环错误 16.同步串行通信与异步串行通信比较,以下说法错误的是( ④ ) ①异步通信按字符成帧,同步通信以数据块成帧

CAN总线技术讲解

摘要: 随着工业测控技术和生产自动化技术的不断进步,传统的RS-232、RS-485和CCITTV.24通信技术已不能适应现代化的工业控制需要,而现场总线(Fieldbus)以其低廉的价格、可靠的性能而逐步成为新型的工业测控领域的通信技术。现场总线是应用在生产现场,在微机化测量控制设备之间实现双向串行多节点数字通信的系统,是一种开放式、数字化、多点通信的底层控制网络。汇集了计算机技术、网络通信技术和自动控制技术(3C)的现场总线技术,从20世纪80年代开始发展起来,并逐步在制造业、流程工业、交通、楼宇等方面的自动化系统中得到了广泛的重视和应用。现场总线主要有以下几种类型[1-3]:基金会现场总线(FF)、LonWorks、ProfiBus、CAN、HART,而其中CAN即控制器局域网因为具有高性能、高可靠性以及独特的设计而越来越受到关注,现已形成国际标准,被公认为几种最有前途的现场总线之一。 Abstract: As industrial measurement and control technology and production automation technology advances, the traditional RS - 232, RS - 485 and CCITTV. 24 communication technology can not meet the needs of modern industrial control, and field bus (Fieldbus), with its low price, reliable performance, and gradually become a new kind of communication technology in the field of industrial measurement and control. Field bus is used in production field, between microcomputer-based measuring control equipment to realize the bidirectional serial multi-node digital communication system, is a kind of open, digital, multipoint communication bottom control network. Brings together computer technology, network communication technology and automatic control technology (3 c) field bus technology, developed in the 1980 s, and gradually in the manufacturing and process industries, transportation, building automation system has been widely attention and application. Fieldbus basically has the following several types: [1-3] foundation fieldbus (FF), LonWorks, ProfiBus, CAN, HART, and which CAN namely controller local area network (LAN) because of the high performance, high reliability and unique design is more and more attention, already formed the international standard, is recognized as one of the most promising fieldbus.

汽车总线系统通信协议分析与比较

河南机电高等专科学校 《汽车单片机与局域网技术》 大作业 专业班级:汽电112 姓名:史帅峰 学号:111606240 成绩: 指导老师:袁霞 2013年4月16日 汽车总线系统通信协议分析与比较 摘要:本文主要针对汽车总线系统通讯协议,探讨汽车总线通讯协议的种类、发展趋势以及技术特点。在对诸多组织和汽车制造商研发的各类汽车总线进行比较和探讨的基础上,对其现状进行了分析;并综合汽车工业的特点对这两大类汽车总线协议的发展前景作了分析。关键词:汽车总线技术通讯协议车载网络 引言:汽车电子技术是汽车技术和电子技术结合发展的产物。从20世纪60年代开始,随着电子技术的飞速发展,汽车的电子化已经成为公认的汽车技术发展方向。在汽车的发展过程中,为了提高汽车的性能而增加汽车电器,电器的增加导致线缆的增加,而线束的增加又使整车质量增加、布线更加复杂、可维护性变差,从而又影响了汽车经济性能的提高。因此,一种新的技术就被研发出来,那就是汽车总线技术。总线技术在汽车中的成功应用,标志着汽车电子逐步迈向网络化。 一、车载网络的发展历程 20世纪80年代初,各大汽车公司开始研制使用汽车内部信息交互的通信方式。博世公司与英特尔公司推出的CAN总线具有突出的可靠性、实时性和灵活性,因而得到了业界的广泛认同,并在1993年正式成为国际标准和行业标准。TTCAN对CAN协议进行了扩展,提供时间触发机制以提高通讯实时性。TTCAN的研究始于2000年,现已成为CAN标准的第4部分ISO11898-4,该标准目前处于CD(委员会草案)阶段。 1994年美国汽车工业协会提出了1850通信协议规范。从1998年开始,由宝马、奥迪等七家公司和IC公司共同开发能满足车身电子要求的低成本串行总线技术,该技术在2000年2月2日完成开发,它就是LIN。 FlexRay联盟推进了FlexRay的标准化,使之成为新一代汽车内部网络通信协议。FlexRay车载网络标准已经成为同类产品的基准,将在未来很多年内,引导整个汽车电子产品控制结构的发展方向。FlexRay是继CAN和LIN之后的最新研发成果。 车载网络的分类及其网络协议 从20世纪80年代以来不断有新的网络产生,为了方便研究和应用,美国汽车工业协会(SAE)的车辆委员会将汽车数据传输网络划分为A、B、C三类。 A类网络 A类网络是面向传感器/执行器控制的低速网络,数据传输速度通常小于10kb/s,主要用于后视镜调整、电动车窗、灯光照明等控制。 A类网络大都采用通用异步收发器(UART,Universal Asynchronous Receiver/Trsmitter)标准,使用起来既简单又经济。但随着技术水平的发展,将会逐步被其他标准所代替。 A类网络目前首选的标准是LIN总线,是一种基于UART数据格式、主从结构的单线12V总线通信系统,主要用于智能传感器和执行器的串行通信。

相关文档
最新文档