3-8译码器 EDA 仿真

3-8译码器 EDA 仿真
3-8译码器 EDA 仿真

项目题目: 3-8译码器的设计

姓名:

院系:应用技术学院

专业:电子信息工程(仪器)

学号:

指导教师:

综合成绩:

完成时间: 2011 年5月 3 日

一、 项目实验内容摘要

(1)实验目的

1、 通过一个简单的 3-8译码器的设计,掌握组合逻辑电路的设计方法。

2、 掌握组合逻辑电路的静态测试方法。

3、 初步掌握QUARTUS Ⅱ原理图输入方法设计的全过程。 (2) 实验的硬件要求:

1、 输入:DIP 拨码开关3位。

2、输出:LED 灯。

3、主芯片:EP1K1OTC100-3。 (3) 实验原理:

三-八译码器为三输入,八输出。当输入信号按二进制方式的表示值为N 时(输入端低电平有效),输出端从零到八记,标号为N 输出端输出低电平表示有信号产生,而其它则为高电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为低电平的情况下,能表示所有的输入组合,因此不需要像编码器实验那样再用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能端,自己设计时可以考虑加入使能输入端时,程序如何设计。三-八译码器真值表:

三八译码器逻辑函数表达式如下:

1270126012501240123012201210120...................................................................A A A Y A A A Y A A A Y A A A Y A A A Y A A A Y A A A Y A A A Y ========

二、项目实验项目源代码

Library ieee;

Use ieee.std_logic_1164.all;

Use ieee.std_logic_arith.all;

Use ieee.std_logic_unsigned.all;

Entity three_eight is

Port(a,b,c:in std_logic;

D0,d1,d2,d3,d4,d5,d6,d7:out std_logic);

End three_eight;

Architecture s_b of three_eight is

Signal O_11, O_22, O_33:std_logic; --注“O”为字母O,不是数字0。

Begin

Process(a,b,c)

Begin

O_11<=not a;

O_22<=not b;

O_33<=not c;

End process;

D0<=O_11 and O_22 and O_33;

D1<=a and O_22 and O_33;

D2<= O_11 and b and O_33;

D3<=a and b and O_33;

D4<=O_11 and O_22 and c;

D5<=a and O_22 and c;

D6<=O_11 and b and c;

D7<=a and b and c;

End s_b;

项目实验工具软件的选用以及实验过程

实验步骤:

利用Quartus Ⅱ平台进行一般数字系统设计实验主要由以下步骤组成。

1、建立工作文件

2、创建工程

3、编译前设置

4、全程编译

5、时序仿真

6、引脚设置和下载

7、配置文件下载

8、编程配置器件

实验的硬件要求:

2、输入:DIP拨码开关3位。 2、输出:LED 灯。

3、主芯片:EP1K1OTC100-3。

四、项目实验结果

设置输入信号:

仿真图:

管脚分配:

五、项目实验分析

开始对QUARTUS ii 9.0这个软件不了解,只是会用一些基本的功能,使用时经常出错。

在仿真时候因为没有保存出错,不能仿真,后来发现后解决,并实现仿真功能;但是仿真后由于重新设置了管脚,没有重新编译,造成不能下载到硬件实现功能,重新编译后,下

载到硬件,实现了该功能。

通过多次试验,多次失败,了解和熟悉了VHDL的实际操作。以及它的一些应用规则

六、项目实验参考资料

《脉冲与数字电路》陈立万主编

《EDA实验教程》陈强主编

七、小组验收记录及评价

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

EDA软件比较

微波射频仿真软件介绍(包括算法,原理) 一、前言 微波系统的设计越来越复杂,对电路的指标要求越来越高,电路的功能越来越多,电路的尺寸要求越做越小,而设计周期却越来越短。传统的设计方法已经不能满足系统设计的需要,使用微波EDA软件工具进行微波元器件与微波系统的设计已经成为微波电路设计的 必然趋势。 EDA即Electronic Design Automation, 电子设计自动化。目前,国外各种商业化的微波EDA 软件工具不断涌现,微波射频领域主要的EDA 工具首推Agilent公司的ADS软件和Ansoft公司的HFSS、Designer软件以及CST,其次是比较小型的有Microwave Office, Ansoft Serenade, Zeland, XFDTD, Sonnet,FEKO等电路设计软件。下面将会将会简要地介绍一下各个微波EDA软件的功能特点和使用范围。 这些EDA仿真软件与电磁场的数值解法密切相关的,不同的仿真软件是根据不同的数值分析方法来进行仿真的, 在介绍微波EDA 软 件之前先简要的介绍一下微波电磁场理论的数值算法。所有的数值算法都是建立在Maxwell方程组之上的,了解Maxwell方程是学习电磁场数值算法的基础。 电磁学问题的数值求解方法总的可分为时域和频域两大类。在频域,数值算法有:有限元法 ( FEM -- Finite Element Method)、矩量法( MoM -- Method of Moments),差分法( FDM -- Finite Difference Methods),边界元法( BEM -- Boundary Element Methed),和传输线法( TLM -- Transmission-Line-matrix Method)。频域技术发展得比较早,也比较成熟。在时域,数值算法有:时域有限差分法( FDTD – Finite Difference Time Domain ),和时域有限积分法( FITD –Finite Integration Time Domain)。时域法的引入是基于计算效率的考虑,某些问题在时域中讨论起来计算量要小。例如求解目标对冲激脉冲的早期响应时,频域法必须在很大的带宽内进行多次采样计算,然后做傅里叶反变换才能求得解答,计算精度受到采样点的影响。若有非线性部分随时间变化,采用时域法更加直接。除此之外外还有一些高频方法作为补充,如GTD,UTD和射线理论。

EDA、Multisim仿真、模拟电路报告

EDA技术及其应用实训报告

1、实训目的 1.1实训目的 (1)掌握EDA技术及开放流程。 (2)掌握Multisim的使用方法和仿真操作。 (3)能够用Multisim软件进行搭建电路原理图,并对电路进行仿真。 (4)熟练运用Multisim软件并掌握其界面模块的功能。 (5)理解并掌握EDA技术在电路以及模电、数字电路设计中的应用。 1.2 实训要求 (1)利用Multisim仿真L、C串联谐振电路,并用波特图仪测定频率特性。(2)利用Multisim仿真三相三线制Y形非对称电路,并按要求分析。(3)利用Multisim仿真模拟电路,并按要求进行分析。 (4)利用Multisim仿真数字电路,并按要求进行分析。 2、实验内容 2.1 模拟电路部分 要求:单管共射极分压式放大电路 1、分析静态工作点(直流分析) 2、电压放大倍数、输入电阻、输出电阻(交流分析) 解:①电路截图如下:

② 电路示波器及电压表显示(截图)如下: 由上图波形数据可知:实测数据7.76-438 .4573.340-=≈U A ③ 原电路直流通路如下:

测量值如下: B U

=C I =CE U ④ 理论值计算 ◆ 静态工作点的分析 V k k k U R R R U CC b b b B 73.212511515212≈+=+= mA R U U I I e BE B E C 135.11000 246.073.2=?-=-=≈ ()()V R R I U R I R I U U e C C CC e E C C CC CE 94.321.5135.112=+?-=+-=--= ◆ 三极管的输入电阻 1208.882uA m 073.1==≈A I I BQ CQ β

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

译码器与编码器的设计与仿真

译码器与编码器的设计与仿真 1.实验目的 a.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器。 b.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。 2.实验内容的详细说明 2.1 74148:8-3优先编码器(8 to 3 Priority Encoder) 2.1.1 设计思想 先定义八个输入四个输出的实体,然后定义结构体,再定义一个进程利用利用if的嵌套来体现使能端与输入信号的优先级,再利用if和else if的结构来选择不同输入时输出信号的不同。 2.1.2 实验原理 1)优先编码器逻辑图 优先编码器逻辑图 2)优先编码器真值表

2.1.3 VHDL程序(详见附录1) 2.1.4 仿真结果 优先编码器画图-功能仿真波形图 优先编码器VHDL-功能仿真波形图2.2 74138:3-8译码器(3 to 8 Demultiplexer)2.2.1 设计思想

先定义六个输入八个输出的实体,再定义结构体和一个用来先存储输出信号组合新的信号,用with..when来将不同输入组合时将不同的输出信号赋给存储信号,当使能端输入组合为100时,将存储信号赋给输出,否则将“1111111”赋给输出信号组合。 2.2.2 实验原理 1)74138(3-8译码器)逻辑图 74138逻辑图 2)74138逻辑功能真值表 2.2.3 VHDL程序(详见附录2) 2.2.4 仿真结果

74138画图-逻辑功能仿真波形图 74138VHDL-逻辑功能仿真波形图 3.实验总结: 通过本次实验,我对优先编码器和译码器的逻辑功能有进一步的了解,了解到译码器与编码器的功能正好相反,编码器是将各种输入信号转换成一组二进制代码,而译码器则是用一组二进制代码来产生各种独立的输出信号。 4.附录(VHDL程序) 4.1 附录1 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY V74148 IS PORT( EIN : IN STD_LOGIC; D:IN STD_LOGIC_VECTOR(0 TO 7); A:OUT STD_LOGIC_VECTOR(0 TO 2) ); END V74148; ARCHITECTURE V74184_A OF V74148 IS

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

EDA仿真实验报告

EDA仿真实验报告 姓名: 学号: 班级:

一.实验目的 1.了解EDA技术的发展、应用。 2.学习Multisim的使用。 二.实验内容 1.与非门实现四舍五入 2.用74LS138和必要的门电路设计一个表决电路 3.用74LS85设计四位数值比较器 三.实验软件与环境 1.EDA技术 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 2.EDA的应用 EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。 主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。

3.Multisim Multisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。 本实验使用了NI Multisim 14.0 软件。 四.实验内容与步骤 1.与非门实现四舍五入电路(只能用与非门) 真值表: 逻辑表达式:F=m(5,6,7,8,9)

2.用74LS138和必要的门电路设计一个表决电路 真值表: F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

EDA仿真3

实验3 实用加法计数器的设计实验 班级:自动化1303班姓名:汪洋学号:1310410329 1.实验目的:学习含异步清0和同步时钟使能的4位加法计数器的设计、仿真,进一步熟悉QuartusⅡ的设计流程,VHDL的编程方法和时序电路的设计仿真。 2.实验任务:根据之前课程的讲解(3-19),利用QuartusⅡ创建实用加法计数器的工程,并进行编辑、编译、综合、适配和仿真,说明模块中各语句的作用。根据仿真波形详细描述此设计的功能特点,以及数据输出的延时和毛刺情况,给出分析报告。 3.实验步骤: 1.创建新的工程命名为cnt10,新建VHDL源文件cnt10.vhd,输入程序源代码并保存,检查源代码是否有错,以下为完整源代码。

2.进行编译过程,保证成功无错误。 3.建立波形仿真文件,波形文件存盘将工程cnt10的端口节点选入波形编辑器中,设置激励信号波形再次保存后启动仿真器并进行仿真验证,然后观察仿真结果并进行分析

4.自设激励信号,完成实验仿真,符合加法计数器的设置

1.实验分析: 时钟信号clk、复位信号rst或时钟使能信号en中任一信号发生变化,都将其启动process进程语句。此时如果rst唯1,将对计数器进行清零,即复位,这项操作独立于clk,因而称为异步;如果rst为0,则看时钟信号上升沿;如果此时有clk信号,又测得en=1,即允许计数器计数,此时若满足计数值小于9,即cqi<9,计数器将进行正常计数,及执行语句cqi:=cqi+1,否则对cqi清零;但如果测得en=0,则跳出if语句,使coi保持原值,并将计数值向端口输出:cq<=cqi. 第二个if语句的功能是当计数器cqi的计数值达到9时,输出高电平,作为十进制计数的进位溢出信号cout,而当cqi为其他值时,输出低电平0. 个人体会: 通过这次试验了解了QuartusⅡ的VHDL电路的设计及仿真,从中学会了很多,将数电知识与相结合来理解内容能够面对问题积极处理,认真分析,细心输入代码,仔细排查错误,这也是实验的收获。希望以后更进一步的在实验中学到更多。

EDA实验-- 译码器与编码器的设计与仿真

实验三译码器与编码器的设计与仿真 一、实验目的: 熟悉Quartus软件的基本操作,掌握用Quartus软件验证VHDL语言。熟悉译码器与编码器所实现功能及其应用,通过实验堆译码器与编码器有更深刻理解。一、实验内容: 1.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器;2.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。 三、实验原理: 电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 逻辑框图 逻辑功能表

INPUTS OUTPUTS EN 0N 1N 2N 3N 4N 5N 6N 7N A2 A1 A0 EO GS 1 × × × × × × × × 1 1 1 1 1 0 × × × × × × × 0 0 0 0 0 1 0 × × × × × × 0 1 0 0 1 0 1 0 × × × × × 0 1 1 0 1 0 0 1 0 × × × × 0 1 1 1 0 1 1 0 1 0 × × × 0 1 1 1 1 1 0 0 0 1 0 × × 0 1 1 1 1 1 1 0 1 0 1 0 × 0 1 1 1 1 1 1 1 1 0 0 1 0 0 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 逻辑表达式和逻辑图:由你来完成。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 代 码 输入端 解 码 信 号 输 出 端低 电 平 有 效 使能输入端

EDA仿真软件介绍

EDA仿真软件介绍 (2009-03-21 08:41) 分类:EDA EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。 EDA设计可分为系统级、电路级和物理实现级。 2 EDA常用软件 EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD 、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim 等等。这些工具都有较强的功能, 一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文 件与第三方软件接口。 (下面是关于EDA的软件介绍,有兴趣的话,旧看看吧^^^) 下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC 设计软件、PLD设计工具及其它EDA软件, 进行简单介绍。 2.1 电子电路设计与仿真工具 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有 很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。

eda技术软件有哪些

eda技术软件有哪些 时间:2010-09-21 16:59:16 来源:作者: eda常用技术软件有哪些呢? EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA 的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 EDA常用软件 EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、ViewLogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具

都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时以可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。 1、电子电路设计与仿真工具 电子电路设计与仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。下面简单介绍前三个软件。 (1)SPICE(Simulation Program with Integrated Circuit Emphasis)是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE (Personal—SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。 (2)EWB(Electronic Workbench)软件 是InterActive ImageTechnologies Ltd 在20世纪90年代初推出的电路仿真软件。目前普遍使用的是EWB5.2,相对于其它EDA软件,

编码器和译码器的设计

长沙理工大学 《计算机组成原理》课程设计报告 编码器和译码器的设计 刘宝 学院计算机与通信工程专业计算机科学与技术班级085010502 学号200550080229 学生姓名刘宝指导教师肖晓丽 课程成绩完成日期2007年1月18日

课程设计任务书 计算机与通信工程学院计算机科学与技术专业

课程设计成绩评定 学院计算机通信工程专业计算机科学与技术班级计05-02 班学号200550080229 学生姓名刘宝指导教师肖晓丽 课程成绩完成日期2008年1月18日指导教师对学生在课程设计中的评价 指导教师对课程设计的评定意见

编码器和译码器的设计 学生姓名:刘宝指导老师:肖晓丽 摘要编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。课程设计结构简单,使用方便,具有一定的应用价值。 关键字计算机电路;EDA;编码器;译码器

目录 1引言 (1) 1.1 设计的目的 (1) 1.2 设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1 EDA技术 (1) 2.1.1 EDA技术的概念 (1) 2.1.2 EDA技术的特点 (2) 2.2 硬件描述语言——VHDL (2) 2.2.1 VHDL的简介 (2) 2.2.2 VHDL语言的特点 (2) 2.2.3 VHDL的设计流程 (3) 3 设计规划过程 (4) 3.1编码器的工作原理 (4) 3.2译码器的工作原理 (4) 3.3课程设计中各部分的设计 (4) 3.3.1八-三优先编码器的设计 (4) 3.3.2三-八译码器的设计 (5) 3.3.3二-四译码器的设计 (6) 4 系统仿真 (7) 4.1八-三优先编码器仿真及分析 (7) 4.2三-八译码器仿真及分析 (8) 4.3二-四译码器仿真及分析 (8) 结束语 (10) 致谢 (11) 参考文献 (12) 附录 (13)

译码器与编码器的设计与仿真实验报告

实验五译码器与编码器的设计与仿真 班级:通信工程三班学号:20100820314 姓名:龙凤婷 一、实验内容: 1.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3_8 译码器; 2.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计8_3 优先编码器; 二、电路功能介绍: 1.74138:3_8译码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 译码信号输出端低电平有效。 2.74148:8_3优先编码器 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当我们敲击按键时,被敲击的案件被键盘里的编码器编码成计算机能够识别的ASCII码。 信号输入端:低电平有效 使能输入端:低有效 编码输出端:低电平有效 使能输出端:低有效

组选输出端:低有效 三、实验过程及实验结果: 1.74138:3_8译码器 逻辑电路图: 代码输入端:A、B、C 使能输入端:G1、G2A、G2B 译码信号输出端:Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7 仿真波形图: VHDL语言程序:

2.74148:8_3优先编码器 逻辑电路图: 信号输入端:IN0、IN1、IN2、IN3、IN4、IN5、IN6、IN7 使能输入端:Enable_in 编码输出端:A0_out、A1_out、A2_out 使能输出端:Enable_out 选组输出端:Group_Select_out 仿真波形图:

VHDL语言程序: 四、实验心得: 这次数字设计的实验内容是译码器与编码器的设计与仿真,分别用逻辑电路图和VHDL语言编写程序运行,用仿真波形图来验证其正确性。译码器与编码器的功能恰好相反。编码器是将二进制代码转换成输出信号,译码器是将输入信号转换成一组二进制代码。通过这次上机实验,我对编码器及译码器有了更深一步的了解,对他们的设计实现过程有了一定的了解。实验中用逻辑符号来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。在以后的学习中,我会更加认真对待,在实践中不断提升自己的动手动脑能力,真正学好这门

VHDL的编码器和译码器的设计_(1)

长沙理工大学 《计算机组成原理》课程设计报告 蒋浩钧 学 院 城南学院 专 业 计算机科学与技术 班 级 计算机09-03 指导教师 蔡烁 学生姓名 蒋浩钧 学 号 200986250333 课程成绩 完成日期 2012年7月1日

课程设计任务书 城南学院计算机科学与技术专业 课程名称计算机组成原理课程 设计时间2011~2012学年第一学期 19~20周 学生姓名蒋浩钧指导老师蔡烁 题目 基于VHDL的编码器和译码器的设计 主要内容: 本课程设计要求利用硬件描述语言VHDL的设计思想,设计计算机电路中编码器和译码器的各个模块,系统设计要求采用自顶向下的设计方法,系统采用VHDL语言编程实现,并对各模块进行仿真验证和分析。 要求: (1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。 (2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。 (3)学生按要求编写课程设计报告书,能正确阐述设计和实验结果。 (4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。 应当提交的文件: (1)课程设计报告。 (2)课程设计附件(主要是源程序)。

课程设计成绩评定 学院城南学院专业计算机科学与技术 班级计09-03 班学号200986250333 学生姓名蒋浩钧指导教师蔡烁 课程成绩完成日期2012年7月1日 指导教师对学生在课程设计中的评价 评分项目优良中及格不及格课程设计中的创造性成果 学生掌握课程内容的程度 课程设计完成情况 课程设计动手能力 文字表达 学习态度 规范要求 课程设计论文的质量 指导教师对课程设计的评定意见 综合成绩指导教师签字年月日

清华大学模拟电子技术课件_EDA仿真作业题及要求-201402_453706319

EDA仿真作业题及要求 一、仿真作业要求 EDA仿真作业共3次,分别于第4、7、14周周二网上提交。请用Multisim 软件仿真。提交作业时请将仿真电路一起提交。仿真实验报告应包括题目、分析计算结果、仿真结果(包括截图、读数、计算等)及分析、仿真中遇到的问题及解决方法、收获和体会等。 二、第一次仿真作业题:共3题,请于第4周周二网上提交仿真电路和报告 实验目的:掌握基本元器件电路的分析方法,熟悉仿真软件环境,掌握仿真软件的基本测量手段(用万用表的交流和直流档测量电压电流量、用示波器测量和观察信号、用IV分析仪测半导体器件的特性曲线),熟悉仿真软件的基本分析方法(直流扫描分析方法)。 1、仿真题1-1(3分):用IV分析仪(IV Analyzer)测量二极管的伏安特性和晶 体管的输出特性。要求如下: (1)二极管可选用小功率二极管,如1N3064。上网查阅1N3064手册(datasheet),了解其参数。用IV分析仪测量二极管的伏安特性,观察 电流随电压变化情况,测量正向电压为0.7V左右时的电流I D以及反 向击穿电压U BR,与手册上对应值比较。 (2)晶体管可选用小功率晶体管,如2N2222A。上网查阅2N2222A手册(datasheet),了解其参数。用IV分析仪测量晶体管的输出特性,观察 β随U CE和I C的变化情况,测量U CE为5V且I C为2mA左右时的β, 与手册上对应值比较;测量并估算Early电压值V A。 2、仿真题1-2(3分):教材习题1.17。电容C可用100uF。二极管可选用小功率二极管,如1N3064。 3、仿真题1-3(4分):教材习题1.18。晶体管可选用小功率晶体管,如2N2222A。 三、第二次仿真作业题:共4题,请于第7周周二网上提交仿真电路和报告 实验目的:熟悉晶体管和场效应管放大电路以及集成运放的基本设计原则,并理解放大电路性能参数的调试和测试方法、静态工作点对动态参数的影响;熟悉仿真软件的基本分析和测量方法。 1、仿真题2-1(3分):利用晶体管2N2222A(模型参数中的BF即β=220, RB即r bb’=0.13Ω)设计一个单电源供电的单管放大电路,电源电压为V CC = +15V。具体要求如下:

编码器和译码器

编码器和译码器 编码器(Encoder) z在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出) z把二进制码按一定的规律编排,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数或控制信号)称为编码 z具有编码功能的逻辑电路称为编码器 z它的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码。

目前经常使用的编码器有普通编码器和优先编码器两类。 优先编码器(74LS148)功能表 译码器(Decoder) z译码是编码的逆过程 z译码器将每个二进制代码赋予的特定含义“翻译”过来,转换成相应的信息符号(输出信号) z具有译码功能的逻辑电路被称为译码器 z它的逻辑功能是将每个输入的二进制代码译成对应的输出高、低电平信号或另一个代码。

常用的译码器电路有二进制译码器、二–十进制译码器和显示译码器。 3线–8线译码器(74LS138)功能表 输出低电平有效 4511真值表

一、验证编码器74LS148和译码器74LS138的逻辑功能: 按上图连接电路,根据74LS148和74LS138的输出状态,填写下表,并分析结果。 二、用两片74LS138扩展为一个4线–16线译码器: 按上图连接电路,根据实验结果,填写下表,并分析电路的工作原理。

三、用74LS138和74LS20双与非门设计下面的多输出函数,画出逻辑电路图。 四、一把密码锁有三个按键,分别为A、B、C。 当三个键都不按下时,锁打不开,也不报警; 当只有一个键按下时,锁打不开,但发出报警信号; 当有两个键同时按下时,锁打开,也不报警; 当三个键同时按下时,锁被打开,但要报警。 试使用74LS138和74LS20双与非门实现此逻辑电路。 五、思考题: 设计一个5–32的二进制译码器 提示:用四片74LS138及一片74LS139(2–4译码器)组成一个树状结构的级联译码器。用74LS139的输入端做5–32译码器高二位输入端,74LS138的译码输入端做5–32译码器的低三位输入端。(注:74LS139是低电平输出)

EDA仿真程序设计

EDA仿真程序设计 数字时钟 班级 姓名 学号

一设计思想 设计首先分三部分,首先对原始信号进行分频,输出我们所需要的时钟信号;第二部分是完成计时功能,第三部分是完成在多位数码管上进行显示的功能。 ●利用分频程序将原始50MHz的时钟信号分别分为计时所需的1Hz信号和 扫描显示所需的500Hz信号。 ●在计时进程中,后利用加法计数器进行计时,利用时钟信号上升沿进行进 位。 ●在显示部分主要分为两个阶段,第一是在7段共阴极数码管上分别显示出 0~9这十个数,第二是在8位扫描数码显示中实现位选。这两个进程主要利用的均是case语句。 在进行完程序之后,在QuartusⅡ上进行验证仿真,后链接硬件下载程序进行实现。 二程序流程 三主体程序介绍 1分频器(原始时钟频率clk为50MHz) ⑴计时分频:1Hz的clk1时钟信号

process(clk) variable cnt1:integer range 0 to 49999999; begin if clk'event and clk='1' then if cnt1=49999999 then cnt1:=0; clk1<='1'; else cnt1:=cnt1+1; clk1<='0'; --利用加法计数器,将周期扩大50M,频率缩小1/50M ⑵显示扫描分频: 500Hz的clk2时钟信号 process(clk) variable cnt2:integer range 0 to 99999; begin if clk'event and clk='1' then if cnt2=99999 then cnt2:=0; clk2<='1'; else cnt2:=cnt2+1; clk2<='0'; --同理,周期扩大100000倍,频率缩小1/100000 2计时程序 ⑴秒计时 个位:process(clk1,rst) begin if rst='1' then sec1<="0000"; elsif clk1'event and clk1='1' then if sec1<"1001" then sec1<=sec1 + 1 ; s1<='0'; else sec1<="0000";s1<='1'; --利用计数器计时,计时时钟上升沿清零,二者共同作用创造新的计时时钟s1上升沿进位 十位:process(s1,rst) begin if rst='1' then sec2<="0000"; elsif s1'event and s1='1' then if sec2<"0101" then sec2<=sec2 + 1 ; else sec2<="0000" ; --计时阶段与个位相同,只不过计时时钟变成了s1

EDA仿真步骤

图3.5-4 7位并串转换电路 4.QuartusII仿真 QuartusII的Waveform Editor及Simulator提供了便利的功能仿真与时序仿真功能,通过仿真波形报告可以直观地验证电路逻辑行为与时序的正确性。Waveform Editor编辑仿真输入的矢量波形文件(Vector Waveform file,VWF)。Simulator仿真VWF文件并计算输出波形数据。 为了便于分享交流,仿真波形图务必做到“完整、简明”的基本原则,即花最少的仿真时间清晰地呈现最多信息。 仿真常规步骤分为新建波形文件、添加仿真节点或总线信号、输入仿真激励、启动仿真、结果分析。 3.5.4 实验内容 学习电路仿真基本方法;熟悉双向移位寄存器的原理及设计方法,通过仿真验证及硬件实验箱验证设计并完成报告。 1. 仿真验证 用一片74194芯片连接好功能验证电路,如图3.5-5所示,通过功能仿真验证74194并入置数、异步清零、串行右移、串行左移、保持的逻辑行为,如图3.5-6所示。 图3.5-5 74194功能验证电路

图3.5-6 74194功能仿真结果 本例在电路编译后进行功能仿真,具体说明以下仿真步骤。 1)新建波形文件后的波形图参数设置 网格宽度Grid size和时间轴长度End time是波形图的基本参数。添加节点前设置好参数可以减少波形图重复调整时间开销。网格宽度与时钟周期紧密相关,通常设置为时钟周期的四分之一、二分之一或整数倍。时间轴长度默认1us,需要配合网格保证充裕的仿真时间。 2)添加节点或总线后的信号整合与位置分配 添加节点或总线后的VWF文件如图3.5-7所示,信号杂乱需要重新调配位置与整合。 信号位置分配要注意:激励输入信号(I类)与待分析的输出信号(O类、R类、C类)上下放置,界限分明;时钟信号置顶,其他输入信号可按“异步控制→同步控制→数据输入”顺序向下放置;同一元器件的控制信号就近放置;同一功能的控制信号就近放置。重新调配位置后的VWF文件如图3.5-8所示。 图3.5-7 信号未整理前的VWF文件图3.5-8 信号位置调配信号整合为总线形式要注意:符合总线形式的I/O信号优先整合;同一器件和同一属性的控制信号优先整合;脉冲信号一般不整合;整合前信号应按“高位→低位”顺序向下放置;整合后信号名以能直观反映该信号功能为宜。信号整合后的VWF文件如图3.5-9所示。 a、b、c、d信号整合为4位二进制表示的总线信号abcd具体步骤如下: (1) 在图3.5-7中Name区,拖动全选a、b、c、d信号,如图3.5-10所示。 (2) 右击所选信号区域,快捷菜单中选择Grouping→Group命令打开Group对话框,Group name文本框中输入abcd,Radix下拉列表选中Binary项,如图3.5-11所示。 (3) 单击OK按钮返回Waveform Editor窗口。

相关文档
最新文档