计算机原理教案1(2课时) 武马群

计算机原理教案1(2课时) 武马群
计算机原理教案1(2课时) 武马群

计算机原理与体系结构

[模拟] 计算机原理与体系结构 选择题 第1题: 中断响应时间是指(1) 。 A.从中断处理开始到中断处理结束所用的时间 B.从发出中断请求到中断处理结束后所用的时间 C.从发出中断请求到进入中断处理所用的时间 D.从中断处理结束到再次中断请求的时间 参考答案:C 第2题: A.13 B.183 C.193 D.203 参考答案:D 第3题: 在单指令流多数据流计算机(SIMD)中,各处理单元必(3) 。 A.以同步方式,在同一时间内执行不同的指令 B.以同步方式,在同一时间内执行同一条指令 C.以异步方式,在同一时间内执行不同的指令 D.以异步方式,在同一时间内执行同一条指令 参考答案:B 在计算机中,最适合进行数字加减运算的数字编码是(4) ,最适合表示浮点数阶码的数字编码是(5) 。 第4题: A.原码 B.反码 C.补码 D.移码

参考答案:C 第5题: A.原码 B.反码 C.补码 D.移码 参考答案:D 操作数所处的位置,可以决定指令的寻址方式。操作数包含在指令中,寻址方式为(6) ;操作数在寄存器中,寻址方式为(7) ;操作数的地址在寄存器中,寻址方式为(8) 。 第6题: A.立即寻址 B.直接寻址 C.寄存器寻址 D.寄存器间接寻址 参考答案:A 第7题: A.立即寻址 B.相对寻址 C.寄存器寻址 D.寄存器间接寻址 参考答案:C 第8题: A.相对寻址 B.直接寻址 C.寄存器寻址 D.寄存器间接寻址

参考答案:D 第9题: 两个同符号的数相加或异符号的数相减,所得结果的符号位SF和进位标志CF 进行(9) 运算为1时,表示运算的结果产生溢出。 A.与 B.或 C.与非 D.异或 参考答案:D 第10题: 若浮点数的阶码用移码表示,尾数用补码表示。两规格化浮点数相乘,最后对结果规格化时,右规的右移位数最多为(10) 位。 A.1 B.2 C.尾数位数 D.尾数位数-1 参考答案:A 第11题: A.10/70△t

《计算机原理与应用》复习题参考答案

《计算机原理与应用》复习题参考答案 一、填空题 1. 2.运算器控制器 3.奇偶 4.11111.1B 5.1.1011B 6.[-x]补,右 7.对阶向右规格化 8.静态,动态 9. 10.磁表面存储器半导体存储器 11. 12.组合逻辑,微程序控制器(或微程序) 13.指令操作码微地址产生部件 14.时间分割,成组(或数据块) 15.传送前预处理传送后处理 16.内码代码转换程序 17、 18、存 19、对主存速度影响小且无明显死区控制电路复杂

20、扩大存储器容量平衡主存与CPU之间的速度 21、奇偶校验法海明校验法循环冗余校验法 22、程序直接控制方式程序中断方式DMA方式通道和IOP方式 23、对阶尾数相加规格化 24、内码代码转换程序 25、数据通路宽度主存容量指令浮点(或:典型四则、四则) 26、 27、ASCII BCD 28、容量 29、双稳态触发器电容 30、单元地址 31、后进先出主存储器 32、指令系统 33、程序计数器(或:PC)程序状态字(或:PSW) 34、中断并行 35、通道 36. 指令寄存器,程序计数器,程序状态字 37、阶码,尾数,数符 38、模块,并行,多个 39、 40、水平,垂直 41、堆栈指针,栈顶

42、触发器,电容 43、40F4H 二、选择题 1.B 2.B 3.A 4.C 5.C 6. 7.C 8.A 9.D 10.D 11、(4)12、(3)13、(1)14、(1)15、16、(2)17、(2)18、(3)19、20、(3)21.B 22.D 23. 24.C 25.A 26.AC 27.B 28. 29.C 30.C 31.D 32. 33.B 34.A 35. 36.A 37.C 38.C 39.A 40.C 41B、42D、43A 、44 D、45C、46A、47B、48C、49B、50.C 三、判断题 1、√ 2、 3、× 4、× 5、、 6、√, 7、╳, 8、, 9、╳, 10、√, 11、,12、, 13、╳, 14、, 15、√ 四、名词解释 1.基数:各数位允许选用的数码个数。或:各数位允许选用的最大数码值加1(不乘位权)。或:产生进位的该位数码值(不乘位权)。 2.DRAM: 3.高速缓存:为提高访存速度在CPU和主存间增设的一种高速存储器。

计算机原理简明教程习题答案

《计算机原理简明教程》习题参考答案 第一章习题答案 1.1 答:是1946年在美国宾夕法尼亚大学诞生,称为ENIAC. 特点是由1800个电子管和1500个继电器组成,重30吨;功耗150KW;只有20个 寄存器,运算速度5000次/秒。 1.2 答:先将编好的程序输入存储器,然后按顺序逐条取出指令并执行。执行时指令在控制器中经分析、解释后产生各种控制信号,控制计算机完成指令的操作容。 包括存储器、运算器、控制器、输入设备、输出设备五大部件。 1.3 答:共经历了四代。 第一代:硬件,电子管;软件,汇编语言。 第二代:硬件,晶体管;软件,高级语言。操作系统出现。 第三代:硬件,集成电路;软件水平提高,操作系统普遍使用。 第四代:硬件,超大规模集成电路;软件具有智能化特性。 1.4 答:硬件就是计算机系统的物理实体。软件是指为计算机运行工作服务的全部技术资料、数据和各种程序。 1.5 答:分为应用层、系统层和硬件层三个层次。 1.6 答:应用层包括系统分析、应用软件和语言工具。系统层包括操作系统和语言处理程序。语言处理程序即编译器或解释器。硬件层主要包括硬件设计和硬件电路。 1.7 答:应用层所有任务程序都要由系统层中的语言处理程序将其转换成机器语言。 1.8 操作系统的作用是什么?说出当前有名的几个操作系统。 操作系统是一个最主要的系统软件,他控制其他程序的运行,管理系统资源并且为用户提供操作界面,简单的操作系统有DOS,复杂的操作系统有UNIX,WINDOWS和LinuX。 1.9 超级计算机已经经历了几代?第三代与第五代相同处与不同处是什么? 经历了五代:第一代单处理器系统、第二代向量处理系统、大规模并行处理系统、第四代共享存处理系统、第五代集群系统。 第三代与第五代在体系结构上是同构的,属于分布存处理方式,不同在于其是否采用价廉物美的日用品组件。

《计算机组成基础学习知识原理》教学方案计划大纲

《计算机组成原理》教学大纲 本门课程的教学目标和要求: 《计算机组成原理》是计算机科学与技术专业的一门核心专业基础课。通过本课程的学习,使学生掌握计算机系统的基本组成、计算机中数据的表示方法、计算机各硬件部件的功能和工作原理等,为学生学习计算机专业课打下坚实的基础。要求学生: 1.从总体上了解计算机硬件、软件、计算机主要部件的基本概念; 2.掌握计算机中各种数据的表示方法; 3.掌握运算器的功能、组成和工作原理; 4.掌握广泛使用的各类半导体存储器的工作原理和特性、主存储器系统的设计、多级存储器层次结构; 5.掌握CPU的组成、CPU各个部件在计算机运行过程中的作用、如何实现各条指令的功能; 6.掌握指令的格式、常见的寻址方式和指令的主要类型; 7.掌握总线的概念、特性和几种常用的总线标准; 8.掌握几种基本的信息交换方式和常用的外围设备的工作原理。 教学重点和难点: 本课程重点是:运算方法和运算器、存储系统、中央处理器。 本课程难点是:存储系统和中央处理器。 教学对象:计算机科学与技术专业本科大学生。 教学方式:采用多媒体教学。 教学时数:60学时。 教学具体内容及学时分配:

第一章概论(2学时) 教学目标和要求: 通过学习本章,使学生了解计算机硬件、软件的概念和计算机的基本组成,从总体上认识计算机。要求学生: 1.了解计算机的分类和应用领域; 2.了解计算机硬件的概念和基本组成; 3.了解计算机层次结构。 教学重点和难点: 本章重点:计算机硬件、软件的概念和计算机的基本组成。 无难点。 教学方式:课堂讲授2学时。 第一节计算机系统简介(0.5学时) 一、计算机系统简介 计算机软件、硬件概念。 二、计算机层次结构 将计算机的层次结构。 第二节计算机的基本组成(1学时) 一、数字计算机的硬件组成 介绍数字计算机的基本组成。 二、计算机的工作步骤 介绍数字计算机的工作步骤。 第三节计算机硬件的主要技术指标(0.5学时)计算机硬件的主要技术指标。

计算机原理与及设计实验报告

计算机原理与设计 实验报告 实验三多周期MIPS CPU的控制部件用有限状态机实现多周期CPU的控制部 件

一.实验目的 1、了解MIPS—CPU控制器的功能和工作原理; 2、掌握用有限状态机技术实现多周期控制器的方法; 3、熟练掌握用Verilog HDL语言设计多周期控制器的方法; 4、熟练掌握对多周期控制器的仿真实验验证和硬件测试两种调试方法; 5、掌握向MIPS-CPU顶层数据通路中增加控制单元的方法,并通过仿真验证和硬件测试两种方法对电路进行故障定位的调试技术。 二.实验内容 (1)MIPS—CPU控制器的有限状态机设计,根据MIPS—CPU 各种类型指令执行要求和有限状态机的设计原理,将多周期控制器的指令执行划分为多个状态,确定每一种指令的有限状态机,最后归纳为完整的多周期控制器有限状态机。通过Verilog HDL语言实现多周期控制器有限状态机。 (2)根据MIPS—CPU控制器的接口要求,在有限状态机的

基础上,用Verilog HDL实现完整的MIPS—CPU控制器的设计,并根据仿真波形,验证设计的正确性,并对出现的故障进行定位,修改程序,重新编译; (3)设计控制器的硬件下载测试方案。将编译通过的电路下载到实验台中。根据硬件调试结果验证设计的正确性,并对出现的故障进行定位,修改程序重新编译;最终完成控制器的硬件电路设计; (4)在MIPS—CPU指令系统的数据通路基础上,增加控制单元电路,并进行编译,仿真波形和调试。根据仿真波形,验证设计的正确性,并对出现的故障进行定位,修改程序,重新编译; (5)对增加了控制单元的顶层数据通路设计硬件下载测试方案。将编译通过的电路下载到实验台中。根据硬件调试结果验证设计的正确性,并对出现的故障进行定位,修改程序,重新编译;最终完成增加了控制单元的顶层数据通路设计。三.实验原理与步骤 1.把指令执行分成多个阶段,每个阶段在一个时钟周期内完成 (1).时钟周期以最复杂阶段所花时间为准 (2).尽量分成大致相等的若干阶段 (3).每个阶段内最多只能完成:1次访存或1次寄存器堆读/写或1次ALU

计算机组成原理电子教案

《计算机组成原理》电子教案 课程名称:计算机组成原理 适用专业:计算机科学与技术网络工程课程总学时:80学时 编写时间: 2006年9月

本课程是计算机专业本科生的核心课程,是主干必修课。课程以阐述原理为主,讲述计算机系统及其各功能部件的工作原理以及逻辑实现,计算机系统及其各功能部件的设计原理以及并行处理技术。设置这一课程的目的是使学生掌握计算机的基本工作原理,掌握计算机各主要部件的硬件结构、相互联系和作用,掌握计算机系统的设计原理以及软硬件的界面,从而对整个计算机系统有完整的了解,为计算机专业的后继课程的学习打下基础。 一、本课程得主要内容 1、计算机系统概论 2、运算方法和运算器 3、存储器 4、计算机指令系统 5、控制器 6、总线系统 7、外围设备 8、输入、输出系统 二、本课程教学重点与难点 重点:信息编码和数据表示 控制器 存储系统 输入输出系统 三、教材选用 《计算机组成原理》白中英.科学出版社, 四、参考教材: 主要参考书: 1、李亚明.《计算机组成与系统结构》.清华大学出版社.2001

2、王爱英.《计算机组成与结构》.清华大学出版社.1998 3、江义鹏.《计算机组成原理》.人民邮电出版社.1998 4、胡越明.《计算机组成和系统结构》.上海科学技术文献出版社.1999 五、教学手段:多媒体课件+版书 六、课程内容和学时分配 (整体安排按信息表示、信息处理、信息输出思路。) 1、计算机系统概论 教学内容: 1、计算机系统的基本构成 2、计算机系统的层次结构 3、计算机系统结构、组成及其实现 4、计算机的性能评价 5、计算机发展简史 6、计算机的应用 基本要求: 通过本章的学习,要求了解整个计算机系统由硬件和软件两部分构成,其中硬件部分包括运算器、控制器、存储器、输入输出设备等五大功能部件构成。通过总线相互连成一个完整的硬件系统;软件部分包括系统软件、应用软件两大部分。通过对计算机层次结构的了解,明确计算机组成原理课程的任务和目的。了解计算机中的一些基本概念,包括性能指标、计算机发展简史以及计算机的应用。 教学重点: 1、计算机系统的基本构成 2、计算机系统的层次结构 3、计算机系统结构、组成及其实现 教学难点:计算机系统的层次结构、系统结构、组成及其实现的关系。明确计算机组成原理课程的任务和目的。 其它: 4、计算机的性能评价(字长、容量、速度、时间、MIPS) 5、计算机发展简史(ENIAC、冯氏计算机、其它自学) 6、计算机的应用(科学计算与数据处理的区别)

计算机组成原理课程设计

附件一 湖南工业大学 课程设计 资料袋 计算机与通信学院(系、部)2015 ~ 2016 学年第2 学期课程名称计算机组成原理指导教师杨伟丰职称教授 学生姓名顾宏亮专业班级软件1403 学号14408300328 题目复杂模型机的设计 成绩起止日期2016 年 6 月20日~2016 年6月21 日 目录清单

附件二湖南工业大学 课程设计任务书 2015 —2016 学年第2 学期 计通 学院(系、部)软件专业1403 班级 课程名称:计算机组成原理 设计题目:复杂模型机的设计 完成期限:自2016 年 6 月20 日至2016 年6 月21 日共 1 周 内容及任务1.根据复杂模型机的指令系统,编写实验程序 2.按图连接实验线路,仔细检查线路无误后接通电源。 3.写程序 4.运行程序 进度安排 起止日期工作内容2016.6.20-2016.6.21连接线路进行实验 主 要 参 考 资 料 唐朔飞.计算机组成原理.北京:高等教育出版社 指导教师(签字):年月日系(教研室)主任(签字):年月日附件三

设计说明书 计算机组成原理 复杂模型机的设计 起止日期:2016 年6月20 日至2016 年 6 月21 日 学生姓名顾宏亮 班级软件1403 学号14408300328 成绩 指导教师(签字) 计算机与通信学院(部) 2016年7月1日 设计题目:复杂模型机的设计

一、设计目的 综合运用所学计算机原理知识,设计并实现较为完整的模型计算机。 二、设计内容 根据复杂模型机的指令系统,编写实验程序,并运行程序,观察和记录运行结果。 三、预备知识 1、数据格式 8位,其格式如下: 1≤X<1。 2、指令格式 模型机设计四大类指令共十六条,其中包括算术逻辑指令、I/O指令、访问存储器、转移指令和停机指令。 (1)算术逻辑指令 (2)访存指令及转移指令 模型机设计2条访存指令,即存数(STA)、取数(LD),2条转移指令,即无条件转移(JMP)、结果 ,M (3)I/O指令 OUT指令中,addr=10时,表示选中“OUTPUT UNIT”中的数码块作为输出设备。 (4)停机指令

微机原理实验8255并行口实验PA输入、PB输出讲课教案

微机原理实验8255并行口实验P A输入、P B输出

8255A并行口实验PA输入、PB输出利用LED等显示 程序 LCD EQU 07FE0H LCDWI EQU LCD+0 ;写命令 LCDWD EQU LCD+1 ;写数据 LCDRS EQU LCD+2 ;读状态 LCDRD EQU LCD+3 ;读数据 PA EQU 0FFD8H PB EQU 0FFD9H PC EQU 0FFDAH PCTL EQU 0FFDBH code segment assume cs:code lin db 0 col db 0 num db 0 dis_num db 0 temp0 db 0 temp1 db 0 count db 0 org 1000h start: MOV DX,PCTL MOV AL,90H OUT DX,AL call init_lcd mov al,3 call delay2 mov count,0 mov bx,offset tab0 mov lin,0 mov col,0 mov num,16 call dis_english GOON: MOV DX,PA IN AL,DX TEST AL,01H JE GOON1 TEST AL,02H JE GOON2

TEST AL,04H JZ GOON3 TEST AL,08H JE GOON4 TEST AL,10H JE GOON5 TEST AL,20H JE GOON6 TEST AL,40H JE GOON7 TEST AL,80H JZ GOON8 JMP GOON9 GOON1: JMP KEY1 GOON2: JMP KEY2 GOON3: JMP KEY3 GOON4: JMP KEY4 GOON5: JMP KEY5 GOON6: JMP KEY6 GOON7: JMP KEY7 GOON8: JMP KEY8 GOON9: mov bx,offset tab9 mov lin,1 mov col,0 mov num,16 call dis_english MOV DX,PB MOV AL,0FFH OUT DX,AL JMP GOON KEY1: MOV DX,PB MOV AL,0FEH OUT DX,AL mov bx,offset tab1 mov lin,1 mov col,0 mov num,16 call dis_english JMP GOON KEY2: MOV DX,PB MOV AL,0FDH OUT DX,AL

《计算机原理与应用》习题(1-3章)

第1章微机系统导论 1.2微处理器、微型计算机和微型计算机系统之间有何联系与区别? 答:微处理器是微型计算机的中央处理器,微型计算机是微型计算机系统硬件部分的核心部件。 微处理器是指由一片或几片大规模集成电路组成的具有运算器和控制器功能的中央处理器部件。 微型计算机又称主机,是指以微处理器为核心,配上存储器、输入/输出接口电路及系统总线所组成的计算机。 微型计算机系统是指以微型计算机为中心,配以相应的外围设备(如硬盘、显示器、键盘、鼠标等)、电源和辅助电路(统称硬件)以及指挥微型计算机工作的软件系统(如系统软件、应用软件)所构成的系统。 1.3一个基本的微机硬件系统的组成部分包括哪几部分?实际微机硬件系统一般都由哪些 部件组成? 答:一个基本的微机硬件系统的组成包括微处理器芯片、存储器芯片与输入输出接口芯片。微处理器芯片是微机的运算和控制中心,存储器芯片(内存)用来存储程序和数据,输入输出接口芯片是微机与外设之间的接口。 主流微机硬件系统一般由主机(包括CPU、主存储器RAM、CPU外围芯片组和总线插槽)、外设接口卡、外部设备(如显示器、键盘、鼠标)及电源等部件组成。 1.6 一个最基本的微处理器由哪几部分组成?它们各自的主要功能是什么? 答:一个最基本的微处理器由运算器、控制器和内部寄存器阵列3个部分组成。 运算器又称为算术逻辑单元(ALU),用来进行算术或逻辑运算以及位移循环等操作;控制器包括指令寄存器(IR)、指令译码器(ID)、可编程逻辑阵列(PLA),三者共同作用完成取指控制、执指控制等操作;内部寄存器的数量和类型视具体的微处理器类型而定,一般包括累加器、数据寄存器、程序计数器、地址寄存器和标志寄存器等,用以存放对应的数据,供控制器和运算器使用。 1.7 试说明程序计数器PC在程序执行过程中的具体作用与功能特点。 答:PC中存放着正待取出的指令的地址。根据PC中的指令地址,CPU准备从存储器中取出将要执行的指令。通常程序按顺序逐条执行。任何时刻PC都指示要取的下一个字节或下一条指令(对单字节指令而言)所在的地址。因此,PC具有自动加1功能。 1.8 试说明标志寄存器F的基本功能是什么?它在程序执行过程中有何作用? 答:标志寄存器F用来寄存CPU执行指令时所产生的结果或状态的标志信号。如进行算术或逻辑运算时是否产生进位、半进位、溢出、结果等于零、奇偶性等状态的变化,通常需要将标志寄存器中这些运算后的结果或状态作为一种条件,用于判断程序是否转移。不同型号的微处理器对应的标志位的具体设置与功能也不同。 1.9 存储器的基本功能是什么?程序和数据是以何种代码形式来存储信息的? 答:存储器是计算机的存储和记忆部件,用来存放数据(包括原始数据、中间结果与最终结果)和程序。程序和数据在计算机内部都是用0、1二进制代码的形式来表示的,每一个0或1就叫做1位信息。 1.10 试说明位、字节、字长的基本概念及三者之间的关系。 答:位(bit)是用0或1表示的一个二进制信息最基本单位;字节(Byte)是由8位二进制代码表示的一个叫做位组的基本信息单位;字(Word)是指由2个字节组成的16位信息单位。字长是指计算机内部CPU一次可以处理二进制数字的位数,它通常是字节的整数倍。

计算机原理课程设计(4)

课程设计说明书 计算机组成原理算法实现(四) 专业 计算机科学与技术 学生姓名 王亚飞 班级 D 计算机132 学 号 1320704223 指导教师 花小朋 完成日期 2016年1月22日

1 课程设计目的 本课程设计是在学完本课程教学大纲规定的全部内容、完成所有实践环节的基础上,旨在深化学生学习的计算机组成原理课程基本知识,进一步领会计算机组成原理的一些算法,并进行具体实现,提高分析问题、解决问题的综合应用能力。 2 课程设计内容与要求 2.1 题目 计算机组成原理算法实现(四) 2.2 功能 能够实现机器数的真值还原(定点小数)、定点小数的单符号位补码加减运算、定点小数的补码乘法运算和浮点数的加减运算。 (1)系统进入(主)窗体的设计:菜单需要在输入口令正确后方可激活使用。口令输入错误时要给出重新输入口令的提示。 (2)选择主窗体中“定点小数真值还原”时进入下图所示的窗体: 在上面的窗体中按“输入”按扭时,将输入焦点设置为最上面的一个文本框上。输入一个定点小数形式的机器数(如:1.1001)后,按“原—〉真值”、“反—〉真值”、“补—〉真值”或“移—〉真值”按钮中的任一个后,将在第二个文本框中显示对应的真值。选择“返回”按钮时回到主窗体。 (3)选择主窗体中的“定点小数单符号位补码加减运算”时进入如下图所示的窗体:操作时首先选择“输入”按钮输入参与运算的数据,然后再选操作按钮。当单击“加法”、“减法”第三、四个文本框显示对应的结果。选择“返回”按钮时回到主窗体。 (4)选择主窗体中的“定点小数原码乘法”时进入如下图所示的窗体:操作时首先选择“输入”按钮输入参与运算的数据,然后再选操作按钮。当单击“乘法”时第三个文本框显示对应的结果。选择“返回”按钮时回到主窗体。 (5)选择主窗体中的“浮点数的加减运算”时进入下图所示的窗体:先选择“输入”按钮输入参与运算的数据,再选操作按钮。当单击“加法”和“减法”时下列文本框显示对应的结果:加法的阶码和尾数、减法的阶码和尾数。选择“返回”按钮时回到主窗体。 (6)选择主窗体中的“帮助”进入下图所示的窗体:阅读完文字后,可使用“关闭”按钮返回主窗口。

微机原理及应用教案(二)

2.1 微型计算机系统(4) 教学目的: 1、理解掌握计算机软件及分类; 2、了解机器语言、汇编语言、高级语言的区别; 3、了解三种语言处理程序的区别; 4、了解程序设计工具; 5、了解数据库及数据库管理系统; 6、了解诊断程序; 7、了解应用软件及分类。 教学过程: 一、引入 [问题1]. 同学们都知道计算机分为硬件和软件两大系统,前面我们了解硬件系统,那么软件指什么?你们都用过或听过那些软件?(学生边回答,教师边在黑板上分类写) 如:Windows 98 Word flash VB Photoshop Windows xp Excel VC Windows 2003 WPS VF [问题2]. 请同学们说一说这些软件的用途 二、新课 1、计算机软件:指为运行、维护、管理、应用计算机所编制程序的总和。 软件? ??应用软件系统软件 2、系统软件及其分类 系统软件??? ?? ??系统工具集数据库管理系统程序设计系统软件 操作系统 ①操作系统:是计算机硬件和软件的接口, 也是用户与计算机的接口, 管理计算机软、硬资源――充分发挥计算机的系统效率(存储器管理、I/O 设备管理、文 件管理) 组织协调计算机的运行――增强计算机系统处理能力 提供人机有的对话接口。

②计算机语言 机器语言: 指令:计算机执行各种操作的命令 机器指令:用二进制编码表示的指令 机器语言:用机器指令编写的程序 机器语言的特点:能被计算机直接识别理解,但编程困难 汇编语言:用与机器语言一一对应的字母代码表示的语言,便于理解记忆,不具有通用性。 高级语言:又称算法语言,英文单词+数学语言,不受机种限制,有很强通用性,目前有数百种。 ③语言处理程序――“翻译” 目标程序―――机器语言程序 源程序――――汇编语言程序和高级语言程序 由于计算机只能直接执行用机器语言编写的目标程序,因而“源程序”必须“翻译”成目标程序,计算机才能执行。把源程序“翻译”成目标程序的翻译程序叫语言处理程序。一般分为以下三种: 汇编程序:汇编语言源程序 ????→?分析查错代真目标程序 解释程序:将高级语言源程序????→?逐句翻译并执行 目标程序 编译程序:将高级语言源程序 ????→?分析查错代真目标程序 ④程序设计工具集 文本编辑程序 连接程序 调试程序 ⑤数据库及数据库管理系统 ⑥诊断程序 3、应用软件:利用计算机所提供的系统软件,为解决各种实际问题而编制的程序。分为:通用软件和专用软件。 三、小结与作业 小结: 1、计算机软件系统的分类。 2、系统软件与应用软件的区别。 作业: 课本40页,练习1、④⑤ 2、①

微型计算机原理及应用试题库答案

微型计算机原理及应用试题库及答案 一、填空 1.数制转换 A)125D=( 11111101 )B =( 375 )O=( 0FD )H=(0001 0010 0101 )BCD B)10110110B=( 182 )D =( 266 )O=( 0B6 )H=(0001 1000 0010 )BCD 2.下述机器数形式可表示的数值范围是(请用十进制形式写出):单字节无符号整数0~255;单字节有符号整数-128~+127。 注:微型计算机的有符号整数机器码采用补码表示,单字节有符号整数的范围为-128~+127。 3.完成下列各式补码式的运算,并根据计算结果设置标志位SF、ZF、CF、OF。指出运算结果有 效否。 A)00101101+10011100= B)11011101+10110011= 4.十六进制数2B.4H转换为二进制数是__00101011.0100,转换为十进制数是__43.25____。 5.在浮点加法运算中,在尾数求和之前,一般需要(对阶)操作,求和之后还需要进行(规格化) 和舍入等步骤。 6.三态门有三种输出状态:高电平、低电平、(高阻)状态。 7.字符“A”的ASCII码为41H,因而字符“E”的ASCII码为(45H),前面加上偶校验位后代 码为(C5)H。 8.数在计算机中的二进制表示形式称为(机器数)。 9.在计算机中,无符号书最常用于表示(地址)。 10.正数的反码与原码(相等)。 11.在计算机中浮点数的表示形式有(阶码)和(尾码)两部分组成。 12.微处理器中对每个字所包含的二进制位数叫(字长)。 13.MISP是微处理的主要指标之一,它表示微处理器在1秒钟内可执行多少(百万条指令) 14.PC机主存储器状基本存储单元的长度是(字节). 15.一台计算机所用的二进制代码的位数称为___字长_________,8位二进制数称为__ 字节____。 16.微型计算机由(微处理器)、(存储器)和(I/O接口电路)组成。 17.8086CPU寄存器中负责与I/O端口交换数据的寄存器为(AX,AL) 18.总线有数据总线、地址总线、控制总线组成,数据总线是从微处理器向内存储器、I/O接口 传送数据的通路;反之,它也是从内存储器、I/O接口向微处理器传送数据的通路,因而它可以在两个方向上往返传送数据,称为(双向总线)。 19.一个微机系统所具有的物理地址空间是由(地址线的条数)决定的,8086系统的物理地址空间 为(1M)字节。 20.运算器包括算术逻辑部件(ALU),用来对数据进行算术、逻辑运算,运算结果的一些特征由 (标志寄存器)存储。 21.控制寄存器包括指令寄存器、指令译码器以及定时与控制电路。根据(指令译码)的结果, 以一定的时序发出相应的控制信号,用来控制指令的执行。 22.根据功能不同,8086的标志为可分为(控制)标志和(状态)标志位。 23.8086/8088CPU内部有(14)个(16位)的寄存器。 24.在8086/8088的16位寄存器中,有(4)各寄存器可拆分为8位寄存器使用。他们是 (AX,BX,CX,DX),他们又被称为(通用寄存器)。 25.8086/8088构成的微机中,每个主存单元对应两种地址(物理地址)和(逻辑地址)。 26.物理地址是指实际的(20)为主存储单元地址,每个存储单元对应唯一的物理地址,其范围 是(00000H-FFFFFH)。

计算机组成原理与系统结构实验教程

实验一算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 3.学习运算器的设计方法。 4.掌握简单运算器的数据传送通路。 5.验证运算功能发生器74LS181 的组合功能。 二.实验设备 TDN-CM+或TDN-CM++教学实验系统一套。 三.实验原理 实验中所用的运算器数据通路图如图2.6-1。图中所示的是由两片74LS181 芯片以并/串形式构成的8 位字长的运算器。右方为低4 位运算芯片,左方为高4 位运算芯片。低位芯片的进位输出端Cn+4 与高位芯片的进位输入端Cn 相连,使低4 位运算产生的进位送进高4 位运算中。低位芯片的进位输入端Cn 可与外来进位相连,高位芯片的进位输出引至外部。两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。 为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就 被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。

2 图2.6-1 运算器通路图 数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开 关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时, 开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。 控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因 此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出 端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCH UNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、 LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入 数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结 果至总线时也应保证数据输入三态门是在关闭状态。 四.实验步骤 1.按图2.6-2 连接实验电路并检查无误。图中将用户需要连接的信号线用小圆圈标明(其 它实验相同,不再说明)。 2.开电源开关。

计算机组成原理课程设计报告_基本模型机的设计与实现

本次课程设计的任务是完成一个基本模型机的设计与实现。设计经过综合运用了以前所学计算机原理的知识,依照设计要求和指导,实现了一个基本的模型计算机。 本模型机实现的功能有:IN(输入),OUT(输出),ADD(加法),SUB(减法),STA(存数),JMP(跳转)。设计进行开始,在了解微程序的基本格式, 及各个字段值的作用后, 按微指令格式参照指令流程图,设计出程序以及微程序,将每条微指令代码化,译成二进制代码表,并将二进制代码转换为联机操作时的十六进制格式文件。根据机器指令系统要求,设计微程序流程图及确定微地址。设计的加法和减法中, 被加数和被减数都由调试人员输入, 而加数和减数都从存储器中读取. 最后上机调试,各个功能运行结果正确。 关键词:基本模型机;机器指令;微指令 目录

1、课程设计题目-----------------------------------------------1 2、实验设备---------------------------------------------------1 3、课程设计步骤-----------------------------------------------1 3.1、所设计计算机的功能和用途------------------------------1 3.2、指令系统----------------------------------------------2 3.3、总体结构与数据通路------------------------------------2 3.4、设计指令执行流程--------------------------------------3 3.5、微指令代码化------------------------------------------4 3.6、组装和调试----------------------------------------------5 4、课程设计总结-----------------------------------------------7 5、附录-----------------------------------------------------------------------------------8 附录1:数据通路图----------------------------------------------------------8 附录2:微程序流程图--------------------------------------------------------9 附录3:实验接线图------------------------------------------------------------10 附录4:实验程序及微程序---------------------------------------------------11 附录5:参考文献(资料)-----------------------------------12

微机原理实验报告

昆明理工大学微机原理及程序设计 实验指导书 段绍米编 昆明理工大学信息工程与自动化学院 自动化系 2013年10月

微机原理实验实验要求及运行环境 1、实验要求与注意事项: 交纸质版实验报告,实验要求: 实 验成绩百分制(总分10 0分)实验 过程 (50 分) 提前预习,写好实验报告,标好注释,修改好程序;10分个人按要求独立完成,填好实验结果,对实验程序进行讲解,按时交实验报告20分不做与本实验无关的事(如玩游戏、聊天、打电话、听音乐等)5分不得缺席,实验签名(两份),按要求填写设备号实验名称等,不带他人签名5分带走自己的垃圾,保持实验室卫生,电脑设备桌椅摆设整齐,保管好个人物品5分按秩序进出实验室,不拥挤,注意安全,爱护设备,保证设备完好5分实验 报告 (50 分) 报告纸要统一,全部手写并填写好表头,包括:实验名称、姓名、学号、班级任 课老师等 2分实验目的、实验内容3分程序框图5分程序清单5分读懂程序加注释(每句注释13+分段注释7)20分实验结果,实验中经老师检查过5分程序修改,实验要求的完成及结果(可用蓝/黑在原程序上标明,并加注释)10分 实 验成绩百分制(总分100分)实验 过程 (50 分) 提前预习,标好程序注释,修改好程序;10分个人按要求独立完成,填好实验结果,对实验程序进行讲解,按时交实验报告20分不做与本实验无关的事(如玩游戏、聊天、打电话、听音乐等)5分不得缺席,实验签名(两份),按要求填写设备号实验名称等,不带他人签名5分带走自己的垃圾,保持实验室卫生,电脑设备桌椅摆设整齐,保管好个人物品5分按秩序进出实验室,不拥挤,注意安全,爱护设备,保证设备完好5分实验 报告 (50 分) 报告按统一格式要求填写,包括:课程名称、姓名、学号、班级任课老师等10分实验报告包括实验目的、实验内容、程序框图、程序清单、实验要求5分读懂程序加注释(每句注释13+分段注释7)20分实验结果,实验中经老师检查过5分程序修改,实验要求的完成及结果(可用其他颜色标明添加的程序,与原程序 不同,并加注释) 10分 2、实验运行环境 在电脑中装ASM Masm for windows 集成实验环境2008。双击图标打开ASM MasM for windows 集成实验环境运行工作界面,输入实验程序代码,调试、改错、保存、运行、查看结果,进行记录。

计算机原理及应用作业 第三次

《计算机原理与应用》作业第三次 四、缓冲技术与浮点数 1、缓存块、相联性和平均存储器访问时间(27分) 假设有16位的地址空间,时钟周期频率为2GHz。设计的缓存参数如下: 缓存大小:4Kb 块大小:1 word(4 byte) 缓存命中时间:2个周期 缓存缺失时间:100个周期 再假设,依次访问如下的地址:0x0000, 0x0004, 0x0008, 0x000c, 0x1000, 0x1004, 0x1008, 0x100c, 0x0000, 0x0004, 0x0008, 0x000c a.如果使用直接映射缓冲技术 (1)地址中的tag、set index、block offset字段分别是多少宽度?(3分) Tag:4位set index:10位block offset:2位 (2)在每次存储访问时,缓存是否命中/缺失?如果是缺失的话,请给出缺失类型 (3)请计算缓冲的缺失率,及AMAT。与没有使用缓冲技术相比,访问存储的时间

的效率提高了多少?(3分) 缺失率=100%;T=0.5ns,AMAT=(2+100*100%)T=51ns;没有缓冲技术时,AMAT=100 cycles=50ns,故缓冲技术使得效率降低2%。 b.假设缓存大小不变,而将块大小变为2字(8字节)请回答问题。 (4)地址中的tag、set index、block offset字段分别是多少宽度?(3分) 4;9;3 (5)在每次存储访问时,缓存是否命中/缺失?如果是缺失的话,请给出缺失类型(Compulsory, Capacity, or Conflict), 请将结果填入下表。(3分) (6)请计算缓冲的缺失率。注:由于增加了块大小,命中和缺失的时间都有可能增加。请在下述给出的参数中选择合理的时间参数,来计算AMAT。与没有使用 缓冲技术相比,访问存储的时间的效率提高了多少?(3分) ●Cache hit time: 1, 2, 3 cycles ●Cache miss time: 90, 100, 110 cycles hit time=2 cycles, miss time=110 cycles, 1 cycle=0.5ns; miss rate=50%; AMAT=(2+110*50%)cycles=28.5ns; 未使用缓冲时,AMAT=100 cycles=50ns,缓冲使得访问效率提高43%。 c.将b中的缓存设计,进一步提高缓存的相联性,缓存大小不变,块大小仍为2字,使用2路分组相连方式。 (7)地址中的tag、set index、block offset字段分别是多少宽度?(3分) 5;8;3 (8)在每次存储访问时,缓存是否命中/缺失?如果是缺失的话,请给出缺失类型(Compulsory, Capacity, or Conflict), 请将结果填入下表。(3分)

微机原理实验模板教学内容

实验一8086指令使用 实验目的 通过实验掌握下列知识: 1、8086指令: MOV,ADD,ADC,SUB,SBB,DAA,XCHG 2、DEBUG命令: A,D,E,F,H,R,T,U。 3、BCD码,ASCII码及用十六进制数表示二进制码的方法。 4、8086寄存器: AX,BX,CX,DX,F,IP。 内容及步骤 一、DEBUG 命令使用 1、键入 DEBUG 进入 DEBUG 控制状态,显示提示符 '- '。 2、用命令 F100 10F 'A' 将'A'的ASCII码填入内存。 3、用命令 D100 10F 观察内存中的十六进制码及屏幕右边的ASCII字符。 4、用命令 F110 11F 41 重复上二项实验,观察结果并比较。 5、用命令 E100 30 31 32 …… 3F将30H-3FH写入地址为100开始的内存单元中,再用D命令观察结果,看键入的十六进制数是什么字符的ASCII码? 6、用H命令检查下列各组十六进制数加减结果并和你的手算结果比较: (1)34H,22H (2)56H,78H (3)A5,79H (4)1284H,5678H (5)A758H,347FH 7、用R命令检查各寄存器内容,特别注意AX,BX,CX,DX,IP及标志位中ZF,CF和AF的内容。 8、用R命令将AX,BX内容改写为1050H及23A8H。 二、8086常用指令练习 1、传送指令 1)用A命令在内存100H处键入下列内容: -A 0100↙ ****:0100 MOV AX,1234↙

****:0103 MOV BX,5678↙ ****:0106 XCHG AX,BX↙ ****:0108 MOV AH,35↙ ****:010A MOV AL,48↙ ****:010D MOV DX,75AB↙ ****:010F XCHG AX,DX↙ ****:0111 ↙ - 注:****为段寄存器CS的值,是由计算机生成的;↙为回车操作。 2)用U命令检查键入的程序并记录,特别注意左边的机器码。 -U 0100↙ 3)用T命令逐条运行这些指令,每运行一行检查并记录有关寄存器及IP的变化情况。并 注意标志位有无变化。 -T=0100↙ -T↙ -T↙ ┇ 2、加减法指令: 1)用A命令在内存100H处键入下列内容: MOV AH,34 MOV AL,22 ADD AL,AH SUB AL,78 MOV CX,1284 MOV DX,5678 ADD CX,DX SUB CX,AX SUB CX,CX 2)用U命令检查键入的程序及对应的机器码。 3)用T命令逐条运行这些指令,检查并记录有关寄存器及标志位的情况。 思考:这次运行还是键入T,行不行?怎么办?用R命令检查一下IP的内容。注意T命令与

相关文档
最新文档