数字集成电路论文ESL方法学的SOC设计与验证技术综述

数字集成电路论文ESL方法学的SOC设计与验证技术综述
数字集成电路论文ESL方法学的SOC设计与验证技术综述

数字集成电路论文

题目:ESL方法学的SOC设计与验证技术综述

系名称:信息工程

专业:电子科学与技术

班级:一班

学号:

姓名:

年月日

本文讨论电子系统级(ESL)设计和验证方法学在系统级芯片(SoC)设计中的应用。ESL 设计是能够让SoC 设计工程师以紧密耦合方式开发、优化和验证复杂系统架构和嵌入式软件的一套方法学,它还提供下游寄存器传输级(RTL)实现的验证基础。已有许多世界领先的系统和半导体公司采用ESL 设计。他们利用ESL 开发具有丰富软件的多处理器器件,这些器件为创新终端产品获得成功提供必需的先进功能性和高性能。

为什么中国的电子产业将会对ESL 感兴趣?因为中国领先的电子公司正在经历一场对他们竞争力非常关键的转型。通过采纳技术创新策略,中国将成为纯粹的知识产权(IP)提供者,而不是纯粹的IP 消费者。那些拥有知识产权的公司将持有通向IP 库的钥匙。

为成功地执行创新策略,中国公司必须采用创新领先公司所使用的先进设计方法学。ESL 设计正是这样一种方法学。它已经被诸多国际系统和半导体公司采用。在中国,大唐已率先在中国3G 手机技术-TD-SCDMA 开发中采用ESL 设计,清华大学及其一些产业合作单位也采用ESL 方法学开发先进的地面数字多媒体广播应用。

ESL 发展的背景

电子系统级(ESL ,Electronic System Level )设计方法和ESL 工具相对来说是一种较新的方法学和工具。虽然这种方法学的提出和工具的开发在20世纪90年代已经开始,但由于相关工具无法配合及市场需求较少,在过去几年EDA 产业一直居于不太起眼的位置。随着90nm 技术的出现,上亿门规模电路的开发及系统的复杂度得剧增,ESL 设计逐渐受到重视,但真正能够执行设计流程所需的ESL 工具,直到最近几年才开始陆续上市。

ESL 设计指系统级的设计方法,是从算法建模演变而来的。ESL 设计已经演变为嵌入式系统软、硬件设计、验证、调试的一种补充方法学。这些嵌入式系统包括SoC 系统、FPGA 系统、板上系统、多板级系统。

ESL 设计以抽象方式来描述SoC 系统,给软、硬件工程师提供一个虚拟原型平台,用以进行硬件系统结构的探察和软件程序的开发。在ESL 设计中,系统的描述和仿真的速度快,使设计工程师有充裕的时间分析设计内容。ESL 设计不仅能应用在设计初期与系统架构规划阶段,也能支持整个硬件与软件协同设计的流程。

引言

随着工艺能力和设计能力的快速发展,为了满足嵌入式系统市场对于成本、功能和功耗的要求,SoC(System on-a-Chip)设计技术已经成为一种发展趋势。众所周知,迄今为止在集成电路发展过程中,摩尔定律(单芯片上所能集成的晶体管数目每18个月翻一番)一直在起作用,因此SoC 的规模和功能在不断急剧膨胀,使得设计验证日益重要,向业界提出了巨大挑战,已成为了整个SoC 设计流程的瓶颈[1]

目前芯片一次投片成功率只有35%左右,造成芯片重复投片的主要原因就是验证不够充分。SoC 设计的验证需要投入的资源已占整个设计资源的60%~80%。1999年当VSIA 1举行验证专题会时,许多世界级验证专家得出结论:验证是件困难的事(hard ),几周后更把结论更正为“Verification is not hard,it is very hard”。现在愈来愈达成共识:单一的设计工具难以解决验证问题,而需要一系列复杂的工具和技术,来减少设计错误数,使之达到可接受的程度。

SoC经过6、7年的发展,有了广阔的市场。SoC验证研究领域在验证技术、验证方法学、测试码提取、验证描述语言、IP核重用验证、验证流程及验证评估方面取得了长足进步。但总体而言验证技术已经落后于设计和制造能力,模拟和验证工作成为整个SoC学科发展的制约瓶颈,给提高设计生产率造成了障碍。如何构建一种更快更好的设计验证方法学是当前SoC业界所关注的问题。

SoC概述

什么是SoC

SoC即系统级芯片,是一种专门用来描述高集成度器件的术语,也称SLI(System Level Integration)。SoC将系统的主要功能综合到一块芯片中,本质上是在作一种复杂的IC设计。SoC是集成电路设计和工艺的发展产物,它可以将整个系统集成在一个芯片上。

1995年美国的调查和咨询公司Dataquest对SoC的定义是,包括一个或多个计算引擎(微处理器/微控制器/数字信号处理器)、至少10万门得逻辑和相当数量的存储器。随着时间的不断推移和相关技术的不断完善,SoC的定义也在不断发展完善。现在的SoC中,要在芯片上整体实现CPU、DSP、数字电路、模拟电路、存储器及片上可编程逻辑等多种电路,综合实现图像处理、语音处理、通信协议、通信机能、数据处理等功能。

SoC按用途的不同可以分为两种类型,一种是专用SoC芯片,是专用集成电路(ASIC)向系统级集成的发展。另一种是通用型SoC芯片,将绝大部分部件,如MCU、DSP、RAM、I/O等集成在芯片上,同时提供用户设计所需的逻辑资源和软件编程所需的软件资源。

SoC的构成

在目前的集成电路设计理念中,IP是构成SoC的基本单元。所谓IP可以理解为是满足特定规范,并能在设计中复用的功能模块,又称IP核(IPCore)

从IP的角度出发,SoC可以定义为基于IP模块的复用技术,以嵌入式系统为核心,把整个系统集成在单个(或少数几个)芯片上,从而完成整个系统功能的复杂的集成电路。目前的SoC集成了诸如处理器、存储器及输入/输出端口等多种IP。

SoC设计方法的发展与挑战

未来的SoC中将会用到更多的处理器,以便更加灵活的支持不断出现的新应用。设计方法也会改进来应对新的挑战,它会对设计工具提出新的要求,产生新的设计技术。

1.系统级设计

SoC的设计应该是一个软件、硬件协同设计的过程,这也是一个SoC系统一个非常重要的标志。然而,传统的集成电路设计方法一般都是将系统级设计分为两个阶段:系统级软件开发阶段和电路级硬件设计阶段。需要特别指出的是,软件开发和硬件设计往往是相对独立进行的。在系统级,软件开发人员使用如C/C++等的高级编程语言进行系统描述和算法仿真,并分析系统在软件层面的各项指标,撰写系统设计书,然后移交给硬件设计工程师。在电路级,硬件设计师首先要花大量的时间理解系统设计书,之后才能利用VHDL或Verilog 硬件描述语言进行电路设计。在此手工转换的过程中,可能还会引入人为的错误因素。另外,为了验证软件开发的正确性,必须等到硬件全部完成之后才能开始软件测试和系统集成,大大延长了设计的进程。传统的设计方法使得软件和硬件之间很难进行早期的平衡和优化,并

有可能严重影响开发成本和开发周期。根据有关统计从系统级设计到电路级设计所花费的时间一般是系统级设计所花时间的3倍左右。因此在系统级设计与电路级设计之间架设一座桥梁,提高设计效率,保证设计成功,已经成为集成电路设计领域极为迫切的任务。

在更高抽象层次上的建模,如系统级建模,可以使硬件及软件工程师在同一个平台上设计。业界领先的公司采用电子系统级设计方法是因为它利用系统级建模,使设计工程师能够及早进行软件开发,实现快速设计和派生设计、快速硬件验证及快速硬件/软件验证。它还可以提供来验证下游RTL实现符合系统规范的功能测试平台。此外,ESL设计工具可以综合针对应用优化的定制处理器,以及快速开发和实现先进算法。

对于一个大型软件开发任务,尽可能早地开始软件开发很有必要,即使原有软件的复用程度很高。采用基于高级语言的ESL设计方法学,SoC架构工程师可以生成一个用来仿真的SoC行为模型,如果需要,还可以生成仿真SoC周期精确时序的高级模型。这个模型称为事物模型(TLM),它使软件设计工程师在RTL设计或者硅原型完成前的几个月就可以着手进行软件开发工作。

高层次的抽象使得多种验证可以更早地进行,减少了产品的面世时间,降低了产品的成本,并且可以更早地发现设计错误。

随着高级抽象层的事物级建模标准化,自动成设计的高层次综合技术正在向普及性发展。基于事物级建模的系统设计将成为重要的发展趋势之一。

2.基于可配置处理器设计与使用的SoC设计方法

当前普遍的SoC设计方法都是基于以一个或多个高性能通用RISC处理器核(如ARM、MIPS等)为核心,构成一整个片上系统体系架构。然而,通用处理器核的性能往往不能满足如信号处理、视频图像、协议实现、信息安全等数据密集型处理任务。现有的典型设计方案,大多是在SoC片上加载一个算法加速单元,通过硬件连线逻辑的设计方法来实现。但也可以看到,这样的设计方法会导致芯片面积和成本的急剧上升,同时算法加速单元的效率也可能会由于处理器核本身功能上非专用的缺陷而受到限制。

从前面的系统级设计方法可以看到,要最有效地发挥SoC的性能,尽可能早地与软件结合确定设计方案是一种行之有效的方法。而如果能够对软件设计出专用性强、算法实现效率高的特殊处理期核,则会更方便的实现SoC的高效性。于是,一种新型的处理器内核,可配置的、可扩展的微处理器核(Configurable Extensible Microprocessor Core)应用而生了。这样的处理器往往通过一个生成器或编译器直接生成,这种过程的特点是可以将高层次的应用需求以指令集、代码或者高级语言直接转换为高效的硬件设计和软件工具,从而极其方便地为设计者如何使用、整合、互联形成处理器提供了积极有效的帮助,也为以这样的一个或多个处理器为核心构成SoC提供了极大的参照便利,更重要的是能使其尽早结合软件应用来实现处理器和SoC性能的优化。

使用这些可配置、可扩展的专用处理器作为SoC系统中子系统的底层构件,完全可以根据软件的需求作合适的调整,省略其中不必要的硬件组成部分,使得部分合适的软件能对其专用或共享,而其他软件程序则可以根据自身要求再“裁减”一套新的处理器核。从这点可以看出,处理器的效率肯定会得到大幅度的改善。

诚然,现今也有许多也有相当多的设计者使用多个高性能通用微处理器构成SoC,同样也能提高性能。但需要指出的是,这样环境下,至少一个处理器核的功能肯定得不到完全发挥,其内部对应用而无言应用的逻辑会使整个速度和功耗指标收到损失。但是专用可配置处理器在这点的优势上较为明显,自动生成的微处理器核只包含应有所需要的功能,因此基础指令集中一定不含有应用目标中不会使用和无关的功能,由此相应带来的面积、功耗和速

度等方面的负担也会得到相应的减少。因此,使用可配置、可扩展的专用处理器作为SoC 的功能模块,会成为SoC设计中的一种必然选择。

3.未来的SoC

高集成度的SoC设计只是这个问题中重要答案的一部分。在广泛的产品类型中,设计者努力争取将系统所有的重要数字功能,如网络开关、打印机、电话、数字电视等做在一个芯片上。同样,SoC设计也力争将所有的重量级功能,如高效通信信号处理、图像和视频信号处理、加密和其他应用加速功能,集到一个芯片上。

以前,绝大多数这样的功能都是靠使用专用的硬件加速器来实现。这就是得设计周期长、成本高,而且产品寿命短。以电子系统级设计为代表的先进的SoC设计方法的出现,使得多个处理器或DPS和可配置的处理器为中心的复杂SoC设计变得简单。而灵活的软件方案可以更有效地解决这个多变、复杂的应用问题。可以预测,以多个处理器和可配置的处理器为中心的复杂SoC必将成为未来的主流。

4.SoC的设计流程

用SoC 技术设计系统芯片,一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:

1.功能设计阶段。

设计人员产品的应用场合,设定一些诸如功能、操作速度、接口规格、环境温度及消耗功率等规格,以做为将来电路设计时的依据。更可进一步规划软件模块及硬件模块该如何划分,哪些功能该整合于SOC 内,哪些功能可以设计在电路板上。

2.设计描述和行为级验证

能设计完成后,可以依据功能将SOC 划分为若干功能模块,并决定实现这些功能将要使用的IP 核。此阶段将接影响了SOC 内部的架构及各模块间互

动的讯号,及未来产品的可靠性。决定模块之后,可以用VHDL 或Verilog 等硬件描述语言实现各模块的设计。接着,利用VHDL 或Verilog 的电路仿真器,对设计进行功能验证(functionsimulation ,或行为验证 behavioral simulation )。注意,这种功能仿真没有考虑电路实际的延迟,但无法获得精确的结果。

3.逻辑综合

确定设计描述正确后,可以使用逻辑综合工具(synthesizer )进行综合。综合过程中,需要选择适当的逻辑器件库(logic cell library ),作为合成逻辑电路时的参考依据。硬件语言设计描述文件的编写风格是决定综合工具执行效率的一个重要因素。事实上,综合工具支持的HDL 语法均是有限的,一些过于抽象的语法只适于做为系统评估时的仿真模型,而不能被综合工具接受。逻辑综合得到门级网表。

4.门级验证(Gate-Level Netlist Verification )

门级功能验证是寄存器传输级验证。主要的工作是要确认经综合后的电路是否符合功能需求,该工作一般利用门电路级验证工具完成。注意,此阶段仿真需要考虑门电路的延迟。

5.布局和布线

布局指将设计好的功能模块合理地安排在芯片上,规划好它们的位置。布线则指完成各模块之间互连的连线。注意,各模块之间的连线通常比较长,因此,产生的延迟会严重影响SOC 的性能,尤其在0.25 微米制程以上,这种现象更为显著。

SoC 验证研究内容

SoC 验证工作比较繁杂。Janick Bergeron 给“验证”下的定义是“证明一个设计的功能是否正确的过程”。SoC 的验证工作贯穿整个设计流程,从行为级HDL 2

设计,一直到芯片设计定案之前都需要做足够多的验证工作,当前验证工作已经占整个设计工作70%左右。图1是SoC“设计缺陷(BUG )”分布情况,其中功能缺陷超过60%。可见SoC 验证工作重点应在功能验证上。

SoC 验证研究内容很多,如:IP 核/模块级验证(Block-Level Verification )、系统级验证(System-Level Verification )、仿真验证(Simulation )、软硬件协同验证(Hardware/Software Co-verification)、等价性检查(Equivalent checking )、静态时序分析和时序验证(Static timing analysis & Timing Verification )、版图验证(Physical verification )等。随着验证技术的逐步发展,验证方法由最初的直接测试向量生成(Directed Test Vector Generation ),到约束随机

测试(Constrainted Random Test),再到覆盖驱动验证(Coverage- driven Verification),一直到最新的基于断言的验证方法(Assertion-based Verification),各种验证方法在不断创新发展。

SoC 验证流程与技术

SoC 验证流程与计划

SoC 的验证工作始终贯穿整个设计流程。从阶段划分上说,SoC 验证可以分为功能验证、等价性验证、静态时序分析、动态时序分析和版图验证等几个主要阶段,如图2所示。

有了SoC 验证流程还很不够,需要验证计划(Verification Plan ),这为SoC 验证工作提供重要质量保证,它规划如何来验证一个设计,主要包括以下内容:

1. 对模块和顶层的测试策略

2. 组成标准测试程序(Testbench )的各个组件的定义和规范,如BFM 3

、总线监视器

(Bus monitor )等

3. 用到的验证工具和流程

4. 仿真环境的定义和搭建

5. 关键的验证点

6. 验证工作结束的标准

SoC 验证流程图

功能验证内容

功能验证(Functional Verification )是验证中最复杂,工作量最大同时也是最灵活的部分,包括模块/IP 核级验证、系统级验证、模拟仿真等。

模块/IP 核级验证

任何SoC设计均由一系列模块组成。模块可能是自己开发,也可能是重用第三方的IP 核。不论哪种情况,在系统集成前做IP核验证工作是必需的。模块/IP核级验证流程如图3所示,软性检查(Link Checking)主要检查代码语法、可综合性、变量未初始化、结构化可支持性和端口失配性等;规范模型检查(Formal Model Checking)主要做设计特征遗漏性检查,以在早期发现错误状况,尤其对控制流设计效果明显,通过设计文档非正式说明、与设计者非正式沟通等途径抽取特征疑问,逐一验证,消除缺陷;功能验证(Functional V erification)

主要利用基准测试向量基于事件或基于时钟进行功能验证,如黑盒测试、白盒测试和灰盒测试(gray-box)等;协议检查(Protocol Checking)主要验证是否违犯总线协议或模块互连约定,按照协议逐一检查并比较结果;直接随机测试(Directed Random Testing)通过随机产生数据、地址、控制等信号检查功能正确性,减少模拟仿真工作量;代码覆盖率分析(Code Coverage )主要根据模拟仿真时统计代码被执行数,可以按陈述句、信号拴(Toggle)、状态机、可达状态、可触态、条件分支、通路和信号等进行统计分析,以提高设计可信度。

系统级验证

系统级验证主要确认芯片体系结构满足所赋予的功能/性能要求。系统级设计阶段将用户需求转换成功能/性能要求,并实现行为/功能设计,然后映射到相应的体系结构上(设计输入、硬IP核、软IP核、软/硬件划分、性能分析、总体优化、性价比评估等反复叠代),最后进行系统级验证,如图4所示。

在系统级验证中,往往要构建虚拟目标系统,如中科SoC芯片在实施验证时,将其所有对

外接口挂接许多虚拟IP核,同时编制了BIOS 4

、RTOS

5

及应用测试程序(包括驱动程序)。

首先做功能验证,验证是否满足要求;其次做软硬件性能验证;第三做系统级基准测试(自顶向下验证策略),抽取特定功能,编制测试向量/程序,定义对错条件,覆盖所有功能,形成基准测试程序(反复迭代),用于模拟仿真。

协议验证

为了验证协议行为,必须明确地定义所有可能发生的操作类型。强烈建议,将所有操作类型列出表格,并且对于每一种操作类型至少开发一个类型至少开发一个测试。在大多数SoC设计中,不是所有(协议支持的)可能的操作类型都是允许的。操作类型通常被限制在很小的数目,因此确定所有支持的操作类型并为它们开发各种测试不是一个巨大的任务。为

了将来在任何操作中使用多组数据进行协议行为的自动化测试,在片上总线中可以使用简单和规则的结构,如总线监视器。

通过在总线控制器中构建总线监视器,用于验证事务处理协议的可观测性和可控制性都得到增强。内嵌总线监视器允许对适当次序下的精确操作进行控制和观测,并且有利于调试。但是应注意,当在总线控制器中构建了总线监视器时,需要总线功能模型而不是全功能模型。

在模块化设计中,接口模块的行为描述和RTL 级描述可以与核的内部逻辑分开,以易于总线监视器接口之间的交流,并在行为级和RTL 级进行仿真。

在这个方法中,除被测试的核之外,每一个核的总线功能模型都取代了其物理网表。对于每个接口模块,使用各种核的总线功能模型及被测核的行为的或RTL 模型,建立一个RTL 级得测试平台以生成测试序列。该测试平台中确定性的测试数据(激励)应根据使用者希望的操作数据给出。使用这种方法,可以进行全局总线上的接口与事务处理协议的仿真,当数据从一个核直接传输到另一个核而不经过全局总线时,也可以进行点到点的接口仿真。

这种方法的一个局限,就是对于所有可能的数据值和每个接口收到的所有数据序列,都无法确保其行为正确;因此,随机数据也应该作为激励的一部分。使用随机数据需要特殊考虑,使核的逻辑不会被强行进入非法状态,并且在核的输入端不出现非法数据序列。为此,或者在随机数据产生时使用过滤器,或者在接口处设置一个可以抑制非法数据的检查器。

响应检查应由人工方式进行,因为即使测试使用确定的数据,也很难表征期望的数值;因此,自动检查只限于一般结果,例如检查非法的输出操作和状态机循环。

FPGA 验证

随着半导体制造技术不断的前进和相应的设计规模以及复杂度飞速的增长,使得传统的软件仿真工具已不可能完全解决功能验证的问题。而且一些需要处理大量实时数据的应用(如视频)也越来越多,因此要求能够在接近实时的条件下进行功能验证[2]。

FPGA 8验证成为SoC 设计流程中重要的一个环节,一方面作为硬件验证工具,可以将所设计的RTL 级代码综合实现后写入FPGA 芯片进行调试检错;另一方面可以进行软件部分的并行开发,在验证板上检测驱动程序、启动操作系统。FPGA 验证的流程相当于一个FPGA 设计的主要流程,它主要分为设计输入、综合、功能仿真(前仿真)、实现、时序仿真(后仿真)、配置下载、下载后板级调试检错这几个步骤。总的来说,FPGA 验证是整个SoC 设计中一个重要而且有效的验证步骤,用来改进RTL 级设计代码,验证功能的正确和完整性,提高SoC 流片成功率。

功能验证方法

直接测试向量生成

直接测试向量生成(Directed Test V ector Generation )遵守WYTWYVO 原则,即

What-Y ou-Thought-of-is-What-Y ou-V erify-Only ,所以需要产生大量的测试向量才能覆盖尽可能多的各种传输组合。这不但要耗费大量的时间和精力,而且很难达到满意的覆盖率。另外

这种方法还需要手工检查结果,只适合比较简单的模块或系统,已经逐渐淡出。

约束随机测试

直接测试向量往往需要手工加入,这样难免会遗漏一些考虑不到的情况,因此有学者提出了随机测试(Random Test)的方法。这种方法让测试向量随机生成,因此在足够长的时间内可以产生大量的随机向量,这样可以比较容易地覆盖到一些考虑不到的情况。

但随着验证技术的发展,验证工程师发现这种完全随机的验证方法一般需要比较长的时间才有可能达到令人满意的覆盖率,而且有些设备的传输类型只有几种,这样就导致把时间浪费在了一些根本不需要产生的测试向量上,所以又提出了约束随机测试(Constrained Random Test)这种新的验证方法,这种方法可以有效的缩短验证时间,在短时间内达到令人满意的覆盖率。

由于约束随机测试可以约束验证环境中各个层次上的属性,所以这种方法可以更真实地反映一个实际的系统。使用约束,特别是带权值(在整个测试中出现的比例)的约束可以很容易地按事先确定的比例产生验证工作所需要的具有某些特殊属性值的一类或几类测试向量,而且如果加入记分板(Scoreboard)技术和自检测(Self -check)技术,会更加易于发现设计中的错误。

覆盖驱动验证

覆盖率一般表示一个设计的验证进行到什么程度,也是一个决定功能验证是否完成的重要量化标准之一。覆盖主要指的是代码覆盖(Code Coverage)和功能覆盖(Functional Coverage)。代码覆盖可以在仿真时由仿真器直接给出,主要用来检查RTL代码哪些没有被执行到。使用代码覆盖可以有效地找出冗余代码,但是并不能很方便地找出功能上的缺陷。

使用功能覆盖则可以帮助我们找出功能上的缺陷。一般说来,对一个设计覆盖点的定义和条件约束是在验证计划中提前定义好的,然后在验证环境中具体编程实现,把功能验证应用在约束随机环境中可以有效检查是否所有需要出现的情况都已经遍历。功能验证与面向对象编程技术结合可以在验证过程中有效地增减覆盖点。这些覆盖点既可以是接口上的信号,也可以是模块内部的信号,因此既可以用在黑盒验证也可以用在白盒验证中。通过在验证程序中定义错误状态可以很方便地找出功能上的缺陷。

基于断言的验证方法

在验证过程中,一般很难找出跨多个时钟周期、顺序相关的一系列操作的时序和功能是否有不符合规范的地方,为此研究出基于断言的验证方法(Assertion -based V erification)来推动验证技术发展。这种方法要用基于断言的验证语言,比如OpenV eraAssertion语言(OV A)、SystemV erilog Assertion语言(SV A)、Property Specification 语言(PSL)等。

使用断言可以很方便的对一个给定输入的设计的期望行为进行精确的描述,从而可以很方便的描述输入/输出行为、总线协议以及设计中的一些复杂的关系。基于断言的验证语言

9可以使用简单的语言结构来建立精确的时序表达式。这些表达式可以代表HDL或者HVL

中的事件(events)、序列(sequences)和事务(transactions)等。通过检查这些表达式是否发生,可以很简单地进行功能覆盖的检查,并且这种覆盖率分析是针对跨多个时序周期的一

个事件序列或者整个传输的,所以比传统的覆盖驱动验证的抽象层次要高。

传统覆盖分析要专门为覆盖分析而写大量的代码,而断言的覆盖分析可以直接使用在协议检查或者事件描述中用到的那些时序表达式,因此编码会更加灵活、简洁。在验证环境中使用基于断言的验证语言书写的模块(一般为Checker 和Monitor )的可重用性优于用HDL 和HVL 写的模块,此外要结合仿真器在仿真环境中进行验证的工作,不过这些代码可以直接应用到形式验证(Formal V erifi- cation )上。

形式验证

形式验证(Formal Verification )主要是用来在覆盖所有可能的输入情况下检查是否与给定的规范一致。形式验证主要包括两部分:一是等价性检查(equivalence checking ),二是模型检查(model checking )。等价性检查主要是检查两个门级网表(gate-level netlist )之间是否一致,保证网表处理后不会改变电路的功能,或者保证网表能正确地实现RTL 代码所描述的功能,或者保证两种RTL 描述逻辑一致。这种方法主要是用来寻找实现(implementation )中的缺陷,而不是设计中的缺陷。因此这种方法很难发现同时存在于两种要比较的描述中的固有缺陷 [3]。

模型检查主要是检查RTL 代码是否满足规范中规定的一些特性(properties )。在规定这些特性时一般使用特性规范语言(Properties Specification Languages ),目前一般也使用基于断言的验证语言。由于这种方法可以在不需要仿真的前提下检查设计中所有可能出现的情况是否满足规定的特性,所以使用这种方法不会遗漏任何的边界情况(corner-case )。但是随着设计复杂度的增加和特性的增多,状态空间(state space )会成指数级增长,为了克服这一困难出现了一种新的验证方法——半形式验证(semi-formal verification ),如Synopsys 公司的Magellan 工具。这种方法把仿真技术的低复杂性和形式方法的完整性结合了起来。 时序验证

时序验证是用来避免时序异常的验证方法,主要包括静态时序分析(Static Timing Analysis )和动态时序分析(Dynamic Timing Analysis )。

静态时序分析(STA)根据设计规范的要求通过检查所有可能路径的时序,不需要通过仿真或测试向量就可以有效地覆盖门级网表中的每一条路径,在同步电路设计中快速地找出时序上的异常[4] 。静态时序分析可识别的时序故障包括:建立/保持和恢复/移除检查(包括反向建立/保持)、最小和最大跳变、时钟脉冲宽度和时钟畸变、门级时钟的瞬时脉冲检测、总线竞争与总线悬浮错误、不受约束的逻辑通道,还能计算经过导通晶体管、传输门和双向锁存的延迟,并能自动对关键路径、约束性冲突、异步时钟域和某些瓶颈逻辑进行识别与分类。时序分析工具目前主要有Primetime 、Time Craft 、Time Director 和SST Velocity 等。

动态时序分析主要指的是门级(或对版图参数提取结果)仿真。这种方法主要应用在异步逻辑、多周期路径、错误路径的验证中。随着设计向130nm 以下的工艺发展,只用静态分析工具将无法精确验证串扰等动态效应。通过动态时序分析与静态时序分析相结合可以验证时序逻辑的建立-保持时间,并利用动态技术来解决串扰效应、动态模拟时钟网络。

物理验证(Physical verification )

物理验证主要是进行设计规则检测(DRC 10)、版图与原理图对照(LVS 11

)和信号完整性分

析。SoC 设计要求采用一种不受设计类型约束的物理验证工具,如Hercules ,来完成这两项任务,为制造复杂SoC 提供灵活性和保证。.

随着加工工艺的不断提高,带来了大量的信号完整性问题。互连线变得又细又高,线间距也越来越小,互连路径与相邻连线间存在的耦合电容成倍增加,因耦合产生的噪声与伪信号等串扰效应可能成为影响集成电路延迟的重要因素。此外,电流在经过电路时会产生阻性电压降(IR drop )导致后面的门电路因电压降低而使其延迟增加,甚至达不到门槛电压。因此在STA 计算延迟时必须考虑串扰和电压降等对电路延迟带来的影响。这使时序验证越来越复杂,也越来越重要。

门级仿真

总线接口逻辑的门级网表应该进行功能和时序的验证。

形式验证可以用来验证门级网表的正确性。时序验证应使用验证流程,随后对延迟反标注的V erilog/VHDL 网表进行门级仿真。总线接口逻辑的门数一般比较少,因此,门级仿真可以有效地完成。

SoC 验证技术发展方向

在对IP 核进行验证时,传统的方法是,IP 核提供者在提供IP 核的同时也要提供该IP 核的测试向量和测试环境,使用这些测试向量和测试环境来验证测试结果是否正确。这种方法的缺陷在于,虽然这个IP 核本身设计是正确的,但是在一个SoC 中,每个IP 核并不是独立存在的,它与其他的IP 核之间必然存在数据交互和总线竞争,没有其他IP 核协同验证是很难接近真实情况的,这样的验证也是不完备的[5] 。在SoC 的实际设计过程中,设计上的问题很多都是在对SoC 进行系统仿真验证的时候才暴露出来,主要体现在IP 核与IP 核之间信号时序的不匹配。这样的错误的定位和更正所需工作量都比较大,造成验证效率低下。在一个实际系统中,经常会有几个IP 核同时发出请求让总线仲裁。但是由于C 语言和汇编语言不支持并行的操作,因此使用这些语言书写的测试程序只能串行地去控制每个IP 核,因而很难模拟这种多个IP 核并发的情况。而使用FPGA 进行系统级测试时,由于FPGA 对外输出有限,系统工作起来只能通过有限的输入输出引脚来辅助定位,对于设计中的错误较难定位和纠正。

SoC 验证与其他数字芯片相比最大的不同在于:因为SoC 中需要集成大量的IP 核,而且由于IP 核经常是来自于不同的供应商,使得它们的验证更加困难。有时候甚至需要对IP 核进行部分修改才能适合具体SoC 的要求。因此IP 核协同验证成为IP 核验证中的一个难点。IP 核提供商所提供的测试向量和测试环境很难重用在多个IP 核协同验证的环境下。供应商有时不提供IP 核的RTL 描述,只是给出行为级的描述和接口时序文档。这样的IP 核不能烧入FPGA 进行系统级验证,这就要求我们能提供一个系统的验证环境来解决多个IP 核协同验证的问题。同时,如果每一次系统级验证都要重新搭建验证环境、编写验证代码,从时间上和人力上都是无法接受的。由于SoC 设计中系统结构和大量IP 核存在着可重用性,在SoC 验证中也可以尽量重用以前的验证代码,使之适应新的设计要求,从而提高验证效率。

为了解决上述设计中的问题,可采用灵活可配置的集合了多种验证手段的系统验证平台,下图所示的中科SoC 验证平台。该平台综合使用直接测试、约束随机测试、形式化属

性检查和覆盖驱动验证等多种方法,进行一定量的仿真工作,通过观察时序属性检测报告、数据检查报告、覆盖数据报告和波形来判断是否完成了验证工作。

结语及SoC的发展前景

随着半导体制造技术不断的快速发展,SoC设计规模以及复杂度飞速地的增长。尤其是在进入深亚微米工艺后,SoC设计和开发遇到了大量的信号完整性和设计完备性问题,为了验证整个芯片的正确性必须要做大量的验证工作,而芯片的上市压力又要求验证工作必须在尽可能短的时间内完成,SoC验证正越来越成为整个设计流程中的关键部分,灵活可配置的集合了多种验证手段的系统验证平台日益重要。为此,业界一直在努力开发新的工具和方法,比如新出现的硬件仿真加速器(Hardware Emulator Accelerator),同时不断完善。

在德国慕尼黑举行的“欧洲设计自动化和测试大会(DA TE )”的主题演讲中,韩国三星电子Jeong-Taek Kong(三星副总裁,半导体业务部门半导体研发中心CAE小组负责人)结合本公司的开发实例,讲述了SoC(芯片级系统)的相关课题,并对其未来发展做了展望。演讲的题目为“纳米时代的SoC:开发课题和无限潜能”。

Jeong-Taek Kong首先表示,半导体产业的发展过去主要受益于PC及便携产品,而现阶段的推动力则是IT产业,下一阶段的关键词将是“泛在(Ubiquitous)”。他指出,在当今纳米时代,1枚芯片上即可集成数亿个晶体管,设计技术人员已经能够通过半导体手段实现高级的系统理念,各类SoC令泛在社会的实现极具现实性。但SoC仍存在着如何降低耗电量和产品价格等诸多问题,从系统级设计,到制造技术,整个工序都必须取得突破。他强调说,尤其是EDA技术将是解决纳米元件物理性问题,以及系统复杂化问题的重要技术。

接着,他介绍了该公司开发的面向手机等领域的各种SoC产品。另外还对三星的技术开发实力夸奖了一番,他表示NAND型闪存尽管不属于SoC,却超越了摩尔法则,其集成度正以每年2倍的速度不断提高。同时他还指出,诸如质量不稳定和成品率下降等在工艺提高过程中所产生的问题正在日益显现,由此造成开发费用剧增。此外他还强调说,软件今后在开发费用中所占的比率将会不断增大。

随后,作为工艺发展过程中存在的重大问题,他举出了晶体管的后期单价(量产时)与最初6个月的初期单价(开发初期)之间的差距越来越大。从经济效益上来讲,这种差距包括技术性和战略性两个方面。在技术方面存在着设计效率、耗电量,以及DFM(可制造性设计,Design For Manufacturability)等课题;在战略性方面则存在着如何挖掘SoC关键性应用领域的问题,因此必须转变思维模式,进行创新。

在DFM方面,他还做了进一步的说明。介绍了通过引进统计学上的设计手法,提高成品率的案例。他表示将某种工艺的不稳定性数据反馈给设计人员这一点非常重要。在对低耗电量的研究方面,他举出了在移动产品领域使用MTCMOS,大幅降低泄漏电流的案例。此外,还介绍了通过TLM(Transaction Level Modeling)这种耗电量预测与优化手法,将耗电量降低56%的成功案例。对于设计效率方面的课题,他补充说ESL(Electronic System Level)设计必须要有进一步的发展。

他最后表示,大学、无工厂半导体开发商、EDA开发商和集成设备制造商(Integrated Device Manufacturer,IDM)之间的相互协作,对于SoC的顺利发展是必不可少的。他总结说,假如能把系统级设计、低耗电和验证等课题清理出来,并加以解决的话,SoC的未来将拥有无限的发展空间。

参考文献

[1] 柴远波张兴明著。现代SoC设计技术电子工业出版社2009.11

[2] 郭炜郭筝谢憧著。SoC设计方法与实现电子工业出版社2007.6

[3] Rochit Rajsuman 著于敦山盛世敏田泽译北京航空航天大学出版社2003.8

[4] 马光胜冯刚编著。SoC设计与IP核重用技术国防工业出版社2006.8

[5] 王道宪主编刘丽著。SoC原理、实现与应用国防工业出版社2005.2

[6] 赵鹏朱正学李金才著。SoC系统开发从实践到提高中国电力出版社2007.6

[7] 郭兵沈艳林永宏韩磊著。SoC技术原理与应用清华大学出版社2006.4

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

【毕业论文选题】半导体专业集成电路设计论文题目有哪些

半导体专业集成电路设计论文题目有哪些 经过20多年的发展无制造半导体产业快速发展,成为令世界瞩目的一支新兴力量。那么对于半导体专业中集成电路设计论文题目又有哪些呢?请看最新整理。 半导体专业集成电路设计论文题目一: 1、基于遗传算法的模拟集成电路优化设计 2、一种关于PCB铜板表面缺陷检测的AOI设计 3、基于3D打印的高导电石墨烯基柔性电路的构建与性能研究 4、CMOS太赫兹探测器的优化设计研究 5、石墨烯基喷墨打印墨水及其柔性电路的制备研究 6、基于工艺偏差的带隙基准电压源设计 7、基于CMOS工艺的太赫兹成像芯片研究 8、PCB元器件定位与识别技术研究 9、基于机器视觉的PCB缺陷自动检测系统 10、纳米银导电墨水的制备及室温打印性能研究 1

11、高散热印制电路材料与互连的构建研究 12、基于CMOS工艺的射频毫米波锁相环集成电路关键技术研究 13、高速高密度PCB信号完整性与电源完整性研究 14、温度冲击条件下PCB无铅焊点可靠性研究 15、多层PCB过孔转换结构的信号完整性分析 16、基于近场扫描的高速电路电磁辐射建模研究 17、铜/树脂界面结合力的研究及其在印制线路板制造中的应用 18、基于HFSS的高速PCB信号完整性研究 19、基于CMOS工艺的全芯片ESD设计 20、高速板级电路及硅通孔三维封装集成的电磁特性研究 21、CMOS电荷泵锁相环的分析与设计 22、CMOS射频接收集成电路关键技术研究与设计实现 23、PCB铜表面的抗氧化处理方法 24、高速电路PCB的信号完整性和电源完整性仿真分析 25、面向PCB焊点检测的关键技术研究 26、CMOS工艺静电保护电路与器件的特性分析和优化设计 27、PCB光学特性对PCB光电外观检查机性能的影响机理 28、印制电路板表面涂覆层与刚挠分层的失效分析研究 29、贴片机同步带传动XY平台的伺服控制系统设计 30、HDMI视频接口电路信号完整性设计 31、嵌入挠性线路印制电路板工艺技术研究及应用 32、基于MIPI协议的LCD驱动接口数字集成电路设计 33、HDI印制电路板精细线路及埋孔制作关键技术与应用 34、辐照环境中通信数字集成电路软错误预测建模研究 35、PCI-E总线高速数据采集卡的研制 36、数字电路功耗分析及优化的研究 2

集成电路设计小论文

电子学与集成电路设计小论文 论文题目:半导体制造工艺综述 学院: 专业: 学号: 姓名: 指导教师: 二〇一三年五月十五日 摘要 典型的集成电路硅片制造工艺可能要花费六到八周的时间,包括350或者更

多步骤来完成所有的制造工艺。这种工艺的复杂性是无以复加的。大多数半导体流程都发生在硅片顶层的几微米以内。这一有源区对应于工艺流程的顶层工艺。所有硅上方的材料都是互联芯片上各个器件所需的分层结构的一部分。为了增加多层金属及绝缘层,工艺流程要求在不同工艺步骤中循环。集成电路制造就是在硅片上执行一系列复杂的化学或者物理操作。这些操作可以分为四大基本类:薄膜制作、刻印、刻蚀和掺杂。 关键词:集成电路、工艺、硅片

一、简述 大多数半导体流程都发生在硅片顶层的几微米以内。这一有源区对应于工艺流程的高端工艺。所有硅上方的材料都是互连芯片上各个器件所需的分层结构的一部分。为了增加多层金属及绝缘层,工艺流程要求硅片在不同的工艺步骤中循环。了解了工艺流程,就会认识到要制造一块高性能微芯片,只需要多次运用有限的几种工艺。 集成电路制造就是在硅片上执行一系列复杂的化学或者物理操作,这些操作可以分为四大基本类:薄膜制作(layer)、刻印(pattern)、刻蚀和掺杂。基本流程如图1所示。即使制造单个MOS管也不例外。由于CMOS技术在工艺家族中最具有代表性,我们以它为例介绍硅片制造流程。 图1 CMOS工艺流程中的主要制造步骤 二、COMS制作工艺流程 1,双阱工艺 在一般的CMOS流程中,第一步往往是定义MOSFET的有源区,现在的亚0.25um的工艺通常采用双阱工艺(也称双管)来定义nMOS和pMOS晶体管的有源区。通常采用倒掺杂技术来优化晶体管的电学特性,这一技术采用高能量、

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

集成电路封装与测试_毕业设计论文

毕业设计(论文)集成电路封装与测试

摘要 IC封装是一个富于挑战、引人入胜的领域。它是集成电路芯片生产完成后不可缺少的一道工序,是器件到系统的桥梁。封装这一生产环节对微电子产品的质量和竞争力都有极大的影响。按目前国际上流行的看法认为,在微电子器件的总体成本中,设计占了三分之一,芯片生产占了三分之一,而封装和测试也占了三分之一,真可谓三分天下有其一。封装研究在全球范围的发展是如此迅猛,而它所面临的挑战和机遇也是自电子产品问世以来所从未遇到过的;封装所涉及的问题之多之广,也是其它许多领域中少见的,它需要从材料到工艺、从无机到聚合物、从大型生产设备到计算力学等等许许多多似乎毫不关连的专家的协同努力,是一门综合性非常强的新型高科技学科。 媒介传输与检测是CPU封装中一个重要环节,检测CPU物理性能的好坏,直接影响到产品的质量。本文简单介绍了工艺流程,机器的构造及其常见问题。 关键词:封装媒介传输与检测工艺流程机器构造常见问题

Abstract IC packaging is a challenging and attractive field. It is the integrated circuit chip production after the completion of an indispensable process to work together is a bridge device to the system. Packaging of the production of microelectronic products, quality and competitiveness have a great impact. Under the current popular view of the international community believe that the overall cost of microelectronic devices, the design of a third, accounting for one third of chip production, packaging and testing and also accounted for a third, it is There are one-third of the world. Packaging research at the global level of development is so rapid, and it faces the challenges and opportunities since the advent of electronic products has never been encountered before; package the issues involved as many as broad, but also in many other fields rare, it needs to process from the material, from inorganic to polymers, from the calculation of large-scale production equipment and so many seem to have no mechanical connection of the concerted efforts of the experts is a very strong comprehensive new high-tech subjects . Media transmission and detection CPU package is an important part of testing the physical properties of the mixed CPU, a direct impact on product quality. This paper describes a simple process, the structure of the machine and its common problems. Keyword: Packaging Media transmission and detection Technology process Construction machinery Frequently Asked Questions

集成电路综述论文

集成电路的过去、现在和未来 摘要:本文简要介绍了集成电路的发展历史、发展现状和发展前景。着重介绍了集成电路技术在一些领域的应用和我国集成电路产业的现状和发展。 关键词:集成电路技术应用电子信息技术 一、发展历史 集成电路的发明和应用是人类20世纪科技发展史上一颗最为璀璨的明珠。50多年来,集成电路不仅给经济繁荣、社会进步和国家安全等方面带来了巨大成功,而且改变了人们的生产、生活和思维方式。当前集成电路已是无处不有、无时不在。她已经成为人类文明不可缺乏的重要内容。 1949年12月23日,美国贝尔实验室的肖克莱、巴丁和布拉顿三人研究小组发现了晶体管效应,并在此基础上制出了世界上第一枚锗点接触晶体管,从此开创了人类大规模利用半导体的新时代。两年后肖克莱首次提出了晶体管理论。1953年出现了锗合金晶体管,1955年又出现了扩散基区锗合金晶体管。1957年美国仙童公司利用硅晶片上热生长二氧化硅工艺制造出世界上第一只硅平面晶体管。从此,硅成为人类利用半导体材料的主要角色。1958年美国德州仪器公司青年工程师基尔比制作出世界上第一块集成电路。1960年初美国仙童公司的诺依思制造出第一块实用化的集成电路芯片。集成电路的发明为人类开创了微电子时代的新纪元。在此后的五十多年里,集成电路技术发展迅速,至今,半导体领域中获得过诺贝尔物理奖的发明创造已有5项。晶体管由于其广泛的用途而被 迅速投入工业生产,“硅谷”成为世界集成电路的策源地,并由此向世界多个国家和地区辐射:上世纪60年代向西欧辐射,70年代向日本转移,80年代又向韩国、我国台湾和新加坡转移。至上世纪90年代,集成电路产业已成为一个高度国际化的产业。 发展现状 简介 集成电路具有多种特点,如其体积小、质量轻、功能齐全、可靠性高、安装方便、频率特性好、专用性强以及元器件的性能参数比较一致,对称性好。目前最先进的集成电路是微处理器或多核处理器的“核心”,可以控制电脑、手机到数字微波炉的一切。当前全球生产技术水平最高的集成电路项目是三星电子高端存储器芯片项目,其预备生产目前世界上最先进的10纳米级闪存芯片。集成电路的设计是集成电路三大产业支柱之一,目前相对主流的设计技术有IP核技术、可重构芯片技术、适应计算设计技术以及结构化设计技术等。IP核技术是目前主流的设计技术,ARM公司以专业设计IP核在CPU领域占据重要地位,成为了全球性RISC微处理器标准的缔造者。三大产业支柱之一的封装技术也在快速发展,目前有发展前景的是DCA技术和三维封装技术。同时,集成电路中单片系统集成芯片的特征尺寸在不断缩小,芯片的集成度在逐渐提升,工作电压在逐渐降低。 2、国内产业现状 中国集成电路发展势头迅速。2000年《国务院关于印发鼓励软件产业和集成电路产业发展若干政策的通知》发布以来,中国集成电路市场和产业规模都实现了快速增长。市场规模方面,2014年中国集成电路市场规模首次突破万亿级大关,达到10393亿元,同比增长13.4%,约占全球市场份额的50%。产业规模方面,2014年中国集成电路产业销售额为3015.4亿元,2001-2014年年均增长率达到23.8%。2014年12月5日,联发科与晶圆代工厂商华力电子共同宣布双方将在28纳米工艺技术和晶圆制造服务方面紧密合作,受到业界极大关注。2015年7月,我国科技重大专项“40-28纳米集成电路制造用300毫米硅片”在上海产业区启动,旨在解决我国集成电路行业300毫米硅片完全依赖进口的局面。

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

集成电路设计实训

研究生课程开设申请表 开课院(系、所):集成电路学院 课程申请开设类型:新开√重开□更名□(请在□内打勾,下同)

一、课程介绍(含教学目标、教学要求等)(300字以内) 本课程将向学生提供集成电路设计的理论与实例相结合的培养训练,讲述包括电路设计与仿真、版图设计和验证以及寄生参数提取的完整全定制集成电路设计流程以及CADENCE与IC制造厂商的工艺库配合等内容。通过系统的理论学习与上机实践,学生可掌握集成电路设计流程以及各阶段所使用的工具,并能进行集成电路的设计工作。 掌握资料查询、文献检索及运用现代信息技术获取相关信息的基本方法;培养学生具有一定的设计,归纳、整理、分析设计结果,撰写论文,参与学术交流的能力。 指导学生学会如何利用现代的EDA工具设计集成电路,培养学生的工程设计意识,启发学生的创新思想。 全面了解集成电路设计、制造、封装、测试的完整芯片制成技术,提高综合运用微电子技术知识的能力和实践能力。 二、教学大纲(含章节目录):(可附页) 第一章cadence集成电路设计软件介绍 第二章偏置电路设计 第三章基本运放和高性能运放 第四章比较器、振荡器设计 第五章电源系统设计(LDO与DC-DC) 三、教学周历

四、主讲教师简介: 常昌远,男,1961年10月出生,2000年东南大学微电子专业博士毕业,现为东南大学副教授,硕士研究生导师。长期从事微电子和自动控制领域内的教学、科研和指导研究生工作。参加过国家自然科学基金重点项目的研究、并主持与IC设计企业合作的多项横向研究课题。近年来主要从事显示控制芯片和电源管理芯片DC-DC、LDO等产品的开发,在CMOS数字集成电路、模拟集成电路的分析、设计与研发、系统的建模和稳定性设计等方面积累了较丰富的实际工作经验。教学方面,主讲包括与研究方向有关的“半导体功率器件”,“自动控制原理”,CMOS模拟集成电路设计等课程。已在国内核心刊物上发表学术论文20余篇,获国家专利1项。目前在东南大学IC学院负责集成电路设计与MPW项目建

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

集成电路论文

集成电路自动测试技术综述 陈华成0812002193 电087 摘要:随着经济发展和技术的进步,集成电路(Integrated Circuit,IC)产业取得了突飞猛进的发展。集成电路测试是集成电路产业链中的一个重要环节,是保证集成电路性能、质量的关键环节之一。集成电路测试是集成电路产业的一门支撑技术,而集成电路自动测试设备(Automatic Test Equipment,A TE)是实现集成电路测试必不可少的工具。 本文首先介绍了集成电路自动测试系统的国内外研究现状,接着介绍了数字集成电路的测试技术,包括逻辑功能测试技术和直流参数测试技术。逻辑功能测试技术介绍了测试向量的格式化作为输入激励和对输出结果的采样,最后讨论了集成电路测试面临的技术难题。 关键词:集成电路;测试技术;IC 1 引言 随着经济的发展,人们生活质量的提高,生活中遍布着各类电子消费产品。电脑﹑手机和mp3播放器等电子产品和人们的生活息息相关,这些都为集成电路产业的发展带来了巨大的市场空间。2007年世界半导体营业额高达2.740亿美元,2008世界半导体产业营业额增至2.850亿美元,专家预测今后的几年随着消费的增长,对集成电路的需求必然强劲。因此,世界集成电路产业正在处于高速发展的阶段。 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 2 集成电路测试的必要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

集成电路设计与集成系统

集成电路卓越计划实验班本科培养计划Undergraduate Experimental Program in IC Design and Integrated System 一、培养目标 Ⅰ.Program Objectives 培养具备坚实的集成电路与集成系统专业理论基础、工程实践能力和相关创业能力,创新意识、创业素质和综合能力强,具备多学科视野和国际竞争力的光电领域研究型高端工程技术人才。毕业生能在集成电路产业部门、研究院所、高等院校及其相关领域创造性地从事集成电路工程相关的研究、开发和管理等工作。 Aiming at preparing all-rounded, high-quality talents with international competence, this program will enable students to be solidly grounded in basic theory, wide-ranged in specialized knowledge, capable of practical work and particularly specialized in Integrated Circuit theories, methods and EDA tools, Integrated System and Information Processing. Our graduates will be capable of research, design and management in IC-related industrial sectors, research centers and colleges etc. 二、基本规格要求 Ⅱ.Learning Outcomes 毕业生应获得以下几个方面的知识和能力: 1.扎实的数理基础; 2.熟练掌握微电子学与固体电子学、半导体集成电路及嵌入式系统的基本理论和方法; 3.分析解决本学科领域内工程技术问题的能力; 4.了解本学科重大工程技术的发展动态和前沿; 5.外语应用能力强; 6.出色的文献检索、资料综述和撰写科技论文的能力; 7.较好的创业素质,较强的项目协调、组织能力; ·122·

集成电路培养方案.

西安邮电学院电子工程学院 本科集成电路设计与集成系统专业培养方案 学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士 一、专业培养指导思想 遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。 二、专业培养目标 本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。 三、学制与学分 学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

数字集成电路课程设计74hc138

目录 1.目的与任务 (1) 2.教学内容基要求 (1) 3.设计的方法与计算分析 (1) 3.1 74H C138芯片简介 (1) 3.2 电路设计 (3) 3.3功耗与延时计算 (6) 4.电路模拟 (14) 4.1直流分析 (15) 4.2 瞬态分析 (17) 4.3功耗分析 (19) 5.版图设计 (19) 5.1 输入级的设计 (19) 5.2 内部反相器的设计 (19) 5.3输入和输出缓冲门的设计 (22) 5.4内部逻辑门的设计 (23) 5.5输出级的设计 (24) 5.6连接成总电路图 (24) 5.3版图检查 (24) 6.总图的整理 (26) 7.经验与体会 (26) 8.参考文献 (26) 附录 A 电路原理图总图 (28) 附录B总电路版图 (29)

集成 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2. 教学内容基本要求 2.1课程设计题目及要求 器件名称:3-8译码器的74HC138芯片 要求电路性能指标: ⑴可驱动10个LSTTL 电路(相当于15pF 电容负载); ⑵输出高电平时,OH I ≤20uA, min ,OH V =4.4V; ⑶输出低电平时, OL I ≤4mA , man OL V , =0.4V ⑷输出级充放电时间r t = f t , pd t <25ns ; ⑸工作电源5V ,常温工作,工作频率work f =30MHZ ,总功耗 max P =15mW 。 2.2课程设计的内容 1. 功能分析及逻辑设计; 2. 电路设计及器件参数计算; 3. 估算功耗与延时; 4. 电路模拟与仿真; 5. 版图设计; 6. 版图检查:DRC 与LVS ; 7. 后仿真(选做); 8. 版图数据提交。 2.3课程设计的要求与数据 1. 独立完成设计74HC138芯片的全过程; 2. 设计时使用的工艺及设计规则: MOSIS:mhp_ns5; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(λ)为单位的设计规则; 3. 设计的方法与计算分析 3.1 74HC138芯片简介

相关文档
最新文档