乒乓球游戏实验报告

乒乓球游戏实验报告
乒乓球游戏实验报告

硬件课程设计乒乓球游戏设计

学院:计算机科学与技术学院

班级:信息安全09-2

姓名:房绍华

一、设计任务与要求

(1)该设计一个由甲、乙双方参赛,有裁判的2人乒乓球游戏机。

(2)用一排发光二极管成一条直线,以中点为界,两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发

光二极管按一定方向移动来表示球的运动,在游戏机的两侧个设置发球和

击球开关,甲乙双方按乒乓球比赛规则来操作开关。

(3)当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。当甲方按动乒乓球开

关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方方向依次

点亮,代表乒乓球的移动。当球过网后按照设计者规定的球位乙方就可以

击球。若乙方提前击球或者未击到球,则甲方得分。然后重新发球进行比

赛。

(4)设置自动记分电路,甲、乙双方各用7段译码管进行记分显示,每计满11分为1局,然后记分清零,重新开始新一局比赛

二、总体方案与说明

用8个发光二极管代表乒乓球台,在游戏机的两侧设置两个开关,一个是发球开关,一个是击球开关,甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,乙方就可击球。若乙方提前击球或没击中球,则判乙方失分,甲方自动加分,重新发球比赛继续进行到一方记分到21分,该局结束,记分牌清零,可以开始新的一局比赛

三、所需硬件及框图

200-207

208-20F 用八个led灯来表示球台环移动来表示球的移动,用8253和8255来构成跑马灯,8253起定时作用。8255用来输入输出。

输入芯片

用八个开关来模拟球拍(实际只需K0和K7两个)。在求的移动过程中来判断是否提前击球。当球移动到最左或右边是读取K0和K7两个开关状态来判断选手是否正确击球。

四、电路原理图与说明

1、在这个实验中,我们主要用的是HUSYE3-MIT-16/32微机接口综合实验/仿真系统,图4.1为利用I/O 输入借口来进行开关K0-K7状态的读取。开始时,开关均位于低电位。

图4.1

2、图4.2为比分显示硬件块。其中主要又8279芯片来控制,以及利用led显示管来显示数字。左边为左边选手的得分,右边为右边选手的得分。采用11进制,即每一个选手的分得到11分后就判定该选手赢得比赛。比分从0:0开始。

图4.2

3、图4.3为循环led灯来表示乒乓球硬件块。Led灯先向左移动,此时K0不能为高电平,若为高电位则为提前击球,右边选手加分,当最左边的等亮起时,K0应及时击球(既把K0拨为高电位)。未及时击球则同样右边选手加分。及时击球则球开始向右边移动。与向左边移动时的规则相同。

图4.3

五、软件主要模块流程图

根据乒乓球比赛的过程和规则,首先游戏开始,如果一方非正确击球则另一方加分,当分数大于11时获胜,游戏结束,我们把设计流程规定如图2.1所示。

图2.1设计流程图

状态机设置了7个状态,分别是“等待发球状态”,“第一盏灯亮状态”,“第八盏灯亮状态”,“球向乙移动状态”,“球向甲移动状态”,“允许甲击球状态”,“允许乙击球状态”。这是该程序中起决定作用的七个状态。开始的时候处于“等待发球状态”,若甲发球则状态转移到“第一盏灯亮状态”,若乙发球则转移到“第八盏灯亮状态”,具体说明以甲球为例。

若发球后乙没有提前击球----规定球移动到对方第一个发光二极管时允许击球,那么状态机从“第一盏灯亮状态”转移到“球向乙移动状态”。若在“球向乙移动状态”乙仍然没有提前击球,状态就转移到“允许乙击球状态”,在此状态下,如果乙击球了,那么状态就转移到“球向甲移动状态”。在“第一盏灯亮状态”,“球向乙移动状态”中,如果乙击球了,就算提前击球,这样甲得分,状态转移到“等待发球状态”等待发球。“球向甲移动状态”之后的过程和前面的过程只不过是甲乙角色的调换而已。状态转移规则都是一样的。图 2.2

给出了乒乓球游戏机的原理图。

图3.2 乒乓球游戏机原理图

六、源程序清单与注释

CODE SEGMENT

ASSUME CS:CODE

START:

call CLEAR_LED

MOV DX,21AH ;比分初始化为0 :0

MOV AL,00000000B

OUT DX,AL

MOV DX,218H

MOV AL,3FH ;k初始化右边选手的比分。

MOV CL,00H ;用cl 记录当前右边选手的比分 OUT DX,AL

OUT DX,AL

MOV AL,00H

OUT DX,AL

OUT DX,AL

OUT DX,AL

OUT DX,AL

MOV AL,3FH ;初始化左边选手的比分

OUT DX,AL ;用ch记录左边选手当前的比分

OUT DX,AL

mov CH,00h

youyi: ;球向右移动

Q0:

MOV AL,00110101B

MOV DX,213h

OUT DX, AL

MOV Al, 0ffh

MOV DX, 210h

OUT DX,AL

OUT DX,AL

MOV AL, 01110101B

MOV DX,213H

OUT DX, AL

MOV Al, 0ffh

MOV DX, 211h

OUT DX, AL

OUT DX, AL ;8253初始化

mov al,10010000B

mov dx,20bh ; 8255初始化

out dx,al

Q1:

mov dx ,208h

IN AL,DX

TEST AL,01H

JZ Q1 ;8253定时,输出到8255的pc4口,读取该口判断

MOV DX,209H

mov al, 80h

not al

out dx,al

MOV BL,AL

Q2:

mov dx ,208h

IN AL,DX

TEST AL,01H

JZ Q2

mov dx,209h

in al,dx

TEST al ,01h ;判断球是否移动到最右端。 jZ yjq

mov dx,220h

in al,dx ;读取开关状态

TEST al, 01h ;没有到最右端时判断右边选手是否提前击球

JZ zjfen ;若提前击球则跳转到左边选手加分程序 mov AL ,00H

CALL D

call D

call D

CALL D

CALL D

CALL D

MOV AL,BL

ROr AL,1

mov dx,209h

OUT DX,AL

MOV BL,AL

JMP Q2

D: INC AL

CMP AL,0FFH

NOP

NOP

NOP

JNZ D

D1: INC DX

CMP DL,0FFFFH

JNZ D1

MOV DX,00H

D2: INC DX

CMP DL,0FFFFH

JNZ D2

MOV DX,00H

D3: INC DX

CMP DL,0FFFFH

JNZ D3

MOV DX,00H

D4: INC DX

CMP DL,0FFFFH

JNZ D4

MOV DX,00H

D5: INC DX

CMP DL,0FFFFH

JNZ D5

MOV DX,00H

D6: INC DX

CMP DL,0FFFFH

JNZ D6

RET

yjq: mov dx, 220h ;右边选手击球程序,和左边选手击球程序相同。 in al,dx

test al ,01h

jnz zjfen

jmp zuoyi

zjfen : ;左边选手加分程序

inc CH ;左边选手比分加1

CALL REINPUT

JMP youyi

zuoyi : ;球向左移动

Q3: MOV AL,00110101B

MOV DX,213h

OUT DX, AL

MOV Al, 0ffh

MOV DX, 210h

OUT DX, AL

OUT DX, AL

MOV AL, 01110101B

MOV DX,213H

OUT DX, AL

MOV Al, 0ffh

MOV DX, 211h

OUT DX, AL

out dx,al

mov al,10010000B

mov dx,20bh

out dx,al

out dx,al

Q4: MOV DX,208H

IN AL,DX

TEST AL,01H

JZ Q4

MOV DX,209H

mov al, 01h

not al

out dx,al

MOV BL,AL

Q5: MOV DX,208H

IN AL,DX

TEST AL,01H

JZ Q5

mov dx,209h

in al,dx

test al ,80h ;判断球是否移动到最左端。 jz zjq

mov dx,220h

in al,dx

test al, 80h

jz yjfen

mov AL ,00H

CALL D

CALL D

CALL D

CALL D

CALL D

CALL D

MOV AL,BL

ROL AL,1

mov dx,209h

OUT DX,AL

MOV BL,AL

JMP Q5

zjq: ;左边选手击球程序

mov dx ,220h

in al,dx ;读取开关状态

test al ,80h

jnz yjfen ;未正确击球时跳转到右边选手加分程序 jmp youyi ;正确击球时跳转到球向右移程序

yjfen : ;右边选手加分程序

inc cl ;右边选手比分加1

CALL REINPUT

JMP zuoyi

REINPUT: ;重新输入比分

call CLEAR_LED ;先进行清屏

MOV DX,21AH

MOV AL,00H

OUT DX,AL

MOV AL,CL

call TRANS ;调用显示程序

MOV DX,218H

MOV AL,00H

OUT DX,AL

OUT DX,AL

OUT DX,AL

OUT DX,AL

MOV AL,CH

CALL TRANS

TRANS PROC NEAR ;数码管显示程序

CASE0:

CMP AL,00H

JNZ CASE1

MOV AL,3FH

JMP SHW1

CASE1:

CMP AL,01H

JNZ CASE2

MOV AL,06H JMP SHW1 CASE2:

CMP AL,02H JNZ CASE3 MOV AL,5BH JMP SHW1 CASE3:

CMP AL,03H JNZ CASE4 MOV AL,4FH JMP SHW1 CASE4:

CMP AL,04H JNZ CASE5 MOV AL,66H JMP SHW1 CASE5:

CMP AL,05H JNZ CASE6 MOV AL,6DH JMP SHW1 CASE6:

CMP AL,06H JNZ CASE7 MOV AL,7DH JMP SHW1 CASE7:

CMP AL,07H JNZ CASE8 MOV AL,07H JMP SHW1 CASE8:

CMP AL,08H JNZ CASE9 MOV AL,7FH JMP SHW1 CASE9:

CMP AL,09H JNZ CASE10 MOV AL,6FH JMP SHW1 CASE10:

CMP AL,0AH

JNZ CASE11

JMP SHW2

CASE11:

CMP AL,0BH

RET

SHW1:

MOV DX,218H

OUT DX,AL

MOV AL,3FH

OUT DX,AL

RET

SHW2:

MOV DX,218H

MOV AL,3FH

OUT DX,al

MOV AL,06H

OUT DX,AL

RET

TRANS ENDP

CLEAR_LED PROC NEAR ;清除RAM值,并清屏

PUSH AX

PUSH DX

MOV DX,21ah

MOV AL,0D2H

OUT DX,AL

WAIT_CLEAR_LED:

NOP

IN AL,DX

AND AL,80H

JNZ WAIT_CLEAR_LED

POP DX

POP AX

RET

CLEAR_LED ENDP

CODE ENDS

end start

七、问题分析与解决方案

关于问题,可以分为前期和后期,前期主要是在需求分析中出现的问题,主要有三个方面。

1、怎么表示乒乓球移动。

这是我们遇到的第一个问题。我们通过网络上的资料确定了自己的方案。即用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的

发光二极管按一定方向移动来表示球的运动。然后就是用开关来表示球拍,读取开关的状态来判断是否击球。高电位来表示击球。

2、计分的规则

就是这个乒乓球游戏的规则。总的来说就是甲、乙双方各用7段译码管进行分显示,甲赢了则记甲一分,乙赢了则乙加一分,每计满11分为1局,然后记分清零,重新开始新一局比赛。其中牵涉到提前击球的情况。我们给出的规定就是若球向右移动且球未移动到最右边时,右边的开关不能为高电位,若为高电位则判定为提前击球。反之球向左移动时,同样遵循这个规则。

3、比分的显示

最初我关于比分的显示问题是想通过dos界面来显示。在和老师沟通后,我改变了自己的想法。利用8279控制的数码管显示比分。这样一来实现的就比较好了。

还有就是后期也就是在程序编好后的实现阶段,我们遇到了一个问题,原因出现在8253芯片上。自己的led灯移动的太快,根本就没有反映的时间。怎么调都找不到问题的所在。因此自己就放弃了8353的延时,利用在代码中加入循环程序来进行延时,虽然不太理想,但也算是能实现了。

八、结论与体会

这次的硬件实验可以说自己的收获还是很大的,主要是汇编语言方面。自己当时在学习《微型计算机原理与接口技术》时自己就掌握的不太好,所以说自己这次的实验还是困哪挺大的。但通过自己复习书本上的知识。以及向老师咨询相关的问题,自己还是把这个实验做好了。虽然结果不是十分完美,但自己还是满意的。同时也发现了自己在学习上还存在这很多的不足之处。在以后的学习中自己还得多努力,认真的学习。争取在这个方面更上一层楼。

九、参考资料

[1] 潘松.EDA技术实用教程(第二版).科学出版社.2005.

[2] 康华光.电子技术基础模拟部分.高教出版社.2006.

[3] 阎石.数字电子技术基础.高教出版社.2003

[4] 周荷琴,吴秀清.微型计算机原理与接口技术.科学出版社.1996

最后时间紧,代码不完美,就是里面的8253延时和循环延时共同存在。可以在连接电路中将PA0置为低电位即可。或是不用8253。如有其他问题,我们可以一起讨论。QQ:335366295 邮箱:fangshaohua111@https://www.360docs.net/doc/ad1028352.html,

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

吹乒乓球游戏作文_五年级作文

吹乒乓球游戏作文 “哈,我们赢了!”“啊?我输了?”一阵阵欢笑声从教室传来。咦?这个班的学生在干什么啊?哦,原来是301教室正在进行一项有趣的活动:吹乒乓球比赛。 第三节一上课,王老师就快步走上讲台,对大家说:“今天,我们玩一个跟乒乓球有关的游戏,叫‘吹乒乓球’。”王老师刚一说完,全班就沸腾了。“我今天带乒乓球了。”“我肯定赢!”等全班静下来,王老师宣布了比赛规则:“三张桌子为一组,每组准备一只乒乓球,把三张桌子拼在一起,课桌中间画上一条线,将球放在线上,再选两名同学站在桌子两边,一个同学当裁判。裁判一声令下,双方开始吹球,乒乓球在哪方落地,哪方失败。三局两胜。都明白了吗?”“明白了!” 我们组迅速把桌子拼好,开始比赛。第一局结束时,一位组员建议三对三,由原来的男生对男生、女生对女生改成了三位男生对

三位女生。 “开始!”一位女生一声令下,我们就开始了比赛,大家都使劲地吹。我鼓起腮帮子,一口气接一口气地吹,腮帮子就像一只装满气的大气球,带动乒乓球忽左忽右。只见小小的乒乓球在桌面上一会儿往男生这边跑,一会儿往女生这边奔,就是不落地。就在双方都觉得腮帮子发酸时,突然一位男生在我们毫不在意的时候,深呼一口气,用力一吹乒乓球,只听“呼”的一声,女生还没反应过来,乒乓球就落地了。第一局,男生赢了。 第二局,三个女生发挥“巾帼不让须眉”的精神,齐心协力,赢了男生。 第三局,那些男生害怕输给女生,气急败坏,连连犯规,气得我们女生都不想跟他们比了。在我们的坚持下,双方打平。 虽然这是一个很简单的游戏,但我觉得它的规则、它所需要的精神在日常生活中的许多事情上都能体现出来,不是吗? 吹乒乓球游戏作文

教科版五年级科学下册实验报告单

五年级科学下册实验报告单 科学实验报告单1 实验名称物体的沉浮 实验目的观察物体的沉浮 实验材料水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验过程实验一: 取小石头、木块、橡皮、针等放入水中,观察它们的沉浮。 实验二:1、把水槽放在展台上,从袋中取出泡沫、回形针、萝卜等分别放入水中观察它们的沉浮 2、把小石块、橡皮、泡沫块、萝卜分别切成二分之一、四分之一、八分之一放入水中观察它们的沉浮 实验结论木块、塑料、泡沫在水中就是浮的;小石头、回形针在水中就是沉的。由同一种材料构成的物体改变它们的体积大小,在水中的沉浮就是不会发生改变的。 科学实验报告单2 实验名称影响物体沉浮的因素 实验目的研究物体的沉浮与哪些因素有关 实验材料水槽、小石块、泡沫塑料块、回型针、蜡烛、带盖的空瓶、萝卜、橡皮、一套同体积不同重量的球、一套同重量不同体积的立方体、小瓶子、潜水艇 实验过程实验1、按体积大小顺序排列七种物体,再标出它们在水中就是沉还就是浮。想一想,物体的沉浮与它的体积大小有关系不? 实验2、按轻重顺序排列七种物体,再标出它们在水中就是沉还就是浮。想一想,物体的沉浮与它的轻重有关系不 实验结论不同材料构成的物体,如果体积相同,重的物体容易沉;如果质量相同,体积小的物体容易沉。 科学实验报告单3 实验名称橡皮泥在水中的沉浮 实验目的橡皮泥排开水的体积 实验材料水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验过程实验一: 找一块橡皮泥做成各种不同形状的实心物体放入水中,观察它们的沉浮。 实验二:1、让橡皮泥浮在水面上,用上面同样大小的橡皮泥,改变它的形状,即把橡皮泥做成船形或者空心的,橡皮泥就能浮在水面上。 2、取一个量杯,装入200毫升的水,记录橡皮泥在水中排开水的体积。 实验结论实心橡皮泥质量不变,形状改变,体积也不变,橡皮泥的沉浮不会发生改变。 橡皮泥在水中排开水的体积越大,浮力越大。 科学实验报告单4 实验名称造一艘小船 实验目的比较哪种船载物多 实验材料水槽、若干橡皮泥、若干垫子、玻璃弹子、有关图片 实验过程一、准备1、决定造一艘什么船;2、准备需要的材料。 二、制作1、画出船的设想草图;2、动手制作。 三、改进与完成 1、放到水里试试,找出需要改进的地方; 2、演示、介绍我的小船。 实验结论相同重量的橡皮泥,浸入水中的越大越容易浮,它的装载量也随之增大。 科学实验报告单5

基于FPGA的乒乓球游戏机设计.

摘要 在现代电子设计领域,微电子技术迅猛发展,无论是系统设计、电路设计,还是芯片设计,其设计的复杂度都在增加,传统的手工设计方法已经不能满足设计者的要求,急需新的设计工具来解决。EDA技术的迅速发展顺应了时代的发展,使得电子设计自动化技术有了新的、快的发展,其重要程度日益突出。本文设计了基于FPGA的,用Verilog HDL语言描述的乒乓球游戏机的设计。它由控制模块、数码管的片选信号模块、送数据模块和7段译码器模块组成,连接形成乒乓球游戏机的顶层电路。在QuartusⅡ软件上用Verilog HDL语言分别对每个模块进行描述,然后在软件上进行编译、仿真,最终实现乓乓球比赛的基本过程和规则,并能自动裁判和计分,达到设计的要求。 关键词:EDA ;FPGA ;Verilog HDL Abstract In the field of modern electronic design, the rapid development of microelectronic technology,whether the system design, circuit design and chip design, the design is more complex, the traditional manual design methods have been unable to meet the requirements of designers,in urgent need of new design tools to solve. The rapid development of EDA technology to the development of the times, the electronic design automation technology has been the development of new, fast, its importance is outstanding day by day. This paper is designed based on FPGA, the design of table tennis game is described with Verilog HDL language. It consists of control module, chip select signal module, digital tube to send data module and the 7 segment decoder modules, the top-level circuit connected to form a table tennis game. In the Quartus software by Verilog HDL language for each module are described, and then the compiler, simulation in software, realize the basic process and the rules of table tennis table tennis competition, and can automatically judge and score, to meet the design requirements. Keywords: EDA; FPGA; Verilog HDL

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

初中物理实验报告的范文

初中物理实验报告的范文 篇一:初中物理实验报告(921字) 器材 找一个底面很平的容器,让一个蜡烛头紧贴在容器底部,再往容器里倒水,蜡烛头并不会浮起来;轻轻地把蜡烛头拨倒,它立刻就会浮起来。 可见,当物体与容器底部紧密接触时,两个接触面间就没有液体渗入,物体的下表面不再受液体对它向上的压强,液体对它就失去了向上托的力,浮力当然随之消失了。 现在,你能提出为潜艇摆脱困境的措施了吗? “浮力是怎样产生的”,学生对“浮力就是液体对物体向上的压力和向下的压力之差”这一结论是可以理解的,但却难以相信,因此做好浮力消失的实验是攻克这一难点的关键,下面介绍两种简便方法。 [方法1] 器材:大小适当的玻璃漏斗(化学实验室有)一个、乒乓球一只、红水一杯。 步骤: (1)将乒乓球有意揿入水中,松手后乒乓球很快浮起。 (2)用手托住漏斗(喇叭口朝上,漏斗柄夹在中指和无名指之间),将乒乓球放入其中,以大拇指按住乒乓球,将水倒入漏斗

中,松开拇指,可见乒乓球不浮起,(这时漏斗柄下口有水向下流,这是因为乒乓球与漏斗间不太密合)。 (3)用手指堵住出水口,可见漏斗柄中水面逐渐上升,当水面升至乒乓球时,乒乓球迅即上浮。(若漏斗柄下口出水过快,可在乒乓球与漏斗接触处垫一圈棉花,这样可以从容地观察水在漏斗柄中上升的情况。) [方法2] 器材:透明平底塑料桶(深度10cm左右,口径宜大些,便于操作)一只、底面基本平整的木块(如象棋子、积木、保温瓶塞等)一个、筷子一根、水一杯。 制作小孔桶:取一铁扦在酒精灯上烧红,在塑料桶底面中央穿一小孔、孔径1cm左右,用砂纸将孔边磨平即成一小孔桶。 步骤: (1)将木块有意揿入水中,松手后木块很快浮起。 (2)将木块平整的一面朝下放入小孔桶中并遮住小孔,用筷子按住木块,向桶中倒水。移去筷子,可见木块不浮起。(这时小孔处有水向下滴,这是因为木块与桶的接触面之间不很密合)。 (3)用手指堵住小孔,木块立即上浮。 上述两例针对实际中物体的表面不可能绝对平滑这一事实,巧妙地利用“小孔渗漏”使水不在物体下面存留,从而使物体失去液体的向上的压力,也就失去了浮力,结果本应浮在水面上的

乒乓球游戏电路课程设计最终完成版

电子课程设计 ——基于Verilog的乒乓游戏设计电路 学院: 专业、班级: 姓名: 学号: 指导教师: 2014年12月

引言 可编程器件的广泛应用,为数字系统的设计带来了极大的灵活性。可编程器件可以通过软件编程对硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样快捷方便。高速发展的FPGA、CPLD兼有串、并行工作方式和高速、高可靠性的特点,在电子系统设计中得到了广泛应用。 通常使用硬件描述语言 (Hardware Description Language,HDL)进行数字电子系统设计。目前应用广泛的硬件描述语言有:VHDL语言,Verilog HDL语言,AHDL语言。Verilog语言由于具有强大的行为描述能力和丰富的仿真语句从而成为系统设计领域最佳的硬件描述语言。 鉴于如上所述,本系统使用Verilog语言进行设计,采用自上向下的设计方法。利用Quartus II 9.1 进行Verilog 程序的编译与综合,然后用Modelism SE 6.0进行功能仿真和时序仿真,并使用EDA实验箱进行下载验证。

基于Verilog的乒乓游戏设计电路 一、设计任务与要求 任务: 设计一个乒乓球游戏机,模拟乒乓球比赛的基本过程和规则,并能裁判和自动计分。 要求如下: 1.使用乒乓球游戏机的甲乙双方在不同的位置罚球或击 球。 2.乒乓球的位置和移动方向可由发光二极管和依次点亮的 方向决定,为球的移动速度为一定值(我们设计中设为0.5秒移动一位)。使用者可按乒乓球的位置发出相应的动作,在其他时候击球视为犯规,给对方加一分;都犯规双方各加一分。二、总体框图 设计思路 根据乒乓球比赛的过程和规则,首先游戏开始,如果一方非正确击球则另一方加分,当分数大于11时获胜,游戏结束,系统设计流程图如图1所示。

吹乒乓球游戏精选作文

吹乒乓球游戏精选作文 吹乒乓球游戏精选作文 “哈,我们赢了!”“啊?我输了?”一阵阵欢笑声从教室传来。咦?这个班的学生在干什么啊?哦,原来是301教室正在进行一项有趣的活动:吹乒乓球比赛。 第三节一上课,王教师就快步走上讲台,对大家说:“今天,我们玩一个跟乒乓球有关的游戏,叫‘吹乒乓球’。”王教师刚一说完,全班就沸腾了。“我今天带乒乓球了。”“我肯定赢!”等全班静下来,王教师宣布了比赛规则:“三张桌子为一组,每组准备一只乒乓球,把三张桌子拼在一起,课桌中间画上一条线,将球放在线上,再选两名同学站在桌子两边,一个同学当裁判。裁判一声令下,双方开始吹球,乒乓球在哪方落地,哪方失败。三局两胜。都明白了吗?”“明白了!” 我们组迅速把桌子拼好,开始比赛。第一局结束时,一位组员建议三对三,由原来的男生对男生、女生对女生改成了三位男生对三位女生。 “开始!”一位女生一声令下,我们就开始了比赛,大家都使劲地吹。我鼓起腮帮子,一口气接一口气地吹,腮帮子就像一只装满气的大气球,带动乒乓球忽左忽右。只见小小的乒乓球在桌面上一会儿往男生这边跑,一会儿往女生这边奔,就是不落地。就在双方都觉得腮帮子发酸时,突然一位男生在我们毫不在意的时候,深呼一口气,

用力一吹乒乓球,只听“呼”的一声,女生还没反应过来,乒乓球就落地了。第一局,男生赢了。 第二局,三个女生发挥“巾帼不让须眉”的精神,齐心协力,赢了男生。 第三局,那些男生害怕输给女生,气急败坏,连连犯规,气得我们女生都不想跟他们比了。在我们的坚持下,双方打平。 虽然这是一个很简单的游戏,但我觉得它的规则、它所需要的精神在日常生活中的许多事情上都能体现出来,不是吗? 吹乒乓球游戏精选作文 今天,我们班举行了“吹乒乓球”比赛,比赛十分有趣,游戏规则:用八个杯子、水和一个乒乓球,把八个杯子里灌满水,然后把乒乓球入杯子里,再用嘴轻轻地吹乒乓球,把球从第一个杯子吹到第四个杯子里,要看谁先进谁就赢。 每两个小组选三个人来比赛,我们选的是刘裕昕、刘戴源、杨卓行,这三个人都信心满满的上去应战。而对方的选手是贾云皓、周昱晟、张文璟。第一局刘裕昕对战贾云皓,开始了,刘裕昕迈着轻微地步伐而且面带浅笑的上去了,而对手仿佛也不甘示弱呢!刘裕昕轻轻地吹着球,只见球从第一个杯子跳到了二个,第三个,最后,刘裕昕用力一吹,吹到第四个杯子里“耶,”三四组的同学大叫着。而对手贾云皓吹到第二个杯子时掉落在了地下。刘裕昕赢了。 接下来的第二局、第三局比赛我们组都获得了胜利。而对手虽然失败了,可他们没有灰心,他们相信下一次一定会胜过我们。

可编程式逻辑器件设计试验报告实验五 乒乓游戏机

昆明理工大学信息工程与自动化学院学生实验报告 (2012——2013 学年第 2 学期) 课程名称:可编程逻辑器件开课实验室:信自楼504 2013年5月17日 一、实验目的 1. 初步掌握Verilog HDL语言的设计方法 2.利用Verilog HDL完成一个乒乓游戏机的设计及仿真 二、实验内容 设计一个乒乓球游戏机,模拟乒乓球比赛基本过程和规则,并能自动裁判和计分。具体要求如下: 1)使用乒乓球游戏机的甲乙双方各在不同的位置发球或击球。 2)乒乓球的位置和移动方向可由LED显示灯和一次点亮的方向决定,球的移 动速度设为0.5秒移动一位。使用者可按乒乓球的位置发出相应的动作,提前击球或出界均判失分。 三、实验原理 乒乓球游戏机是用16个发光二极管代表乒乓球台,中间两个发光二极管兼作乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动。另外设置发球开关Af、Bf,以及接球开关Aj、Bj。利用7段数码管作为计分牌。 甲乙双方按乒乓球比赛规则来操作开关。当甲方按动发球开关Af时,靠近甲方的第一个灯亮,然后按顺序向乙方移动;当球过网后,乙方可以接球,接球后灯反方向移动,双方继续比赛;如果一方提前击球或未击到球,则判失分,对方加分。重新发球后继续比赛。

电路符号 乒乓球游戏机的电路符号如下图所示。 输入信号:系统时钟clk1khz(输入1kHz的时钟信号);系统复位端rst;甲方发球af;甲方接球aj;乙方发球af;乙方接球aj。 输出信号:16个LED显示模块shift[15:0];数码管地址选择信号scan[3:0];7段显示控制信号seg7[6:0]. 四、实验方法 采用文本编辑法,利用Verilog HDL语言描述乒乓球游戏机。下面的代码实现对当前局的计分,需手动清除计分进行下一局比赛;可以尝试增加局分显示,如一方记满11分,当前局计分自动清零,局比分自动增加。 module ping_pang(shift,scan,seg7,clk1khz,rst,af,aj,bf,bj); output[15:0] shift; output[3:0] scan; output[6:0] seg7; input clk1khz; //1khz时钟信号 input af; //a方发球 input aj; //a方接球 input bf; //b方发球 inpit bj; //b方接球 input rst; //系统复位端 reg[15:0] shift; //16个LED,甲左乙右,中间两个作球网 reg[3:0] scan; //数码管地址选择信号 reg[6:0] seg7; //段选码(abcdefg) reg clk1_2hz; reg[3:0] a_score,b_score; reg[1:0] cnt; reg[3:0] data; reg[3:0] a_one,a_ten,b_one,b_ten; reg[7:0] count;

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A 或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。 关键词:游戏机控制电路系统初始化

幼儿园小班乒乓游戏:小小吹球手

幼儿园新课程标准教材 教学设计( 2019 — 2020学年度第二学期 ) 学校: 年级: 任课教师: 幼儿园教案 / 幼儿园小班 / 幼儿园小班游戏教案 编订:XX文讯教育机构

小班乒乓游戏:小小吹球手 教材简介:本教材主要用途为学习教案中的内容,提升自我能力、提升个人素质、提升德智体美劳等作用,本教学设计资料适用于幼儿园幼儿园小班科目, 学习后学生能得到全面的发展和提高。本内容是按照教材的内容进行的编写,可以放心修改调整或直接进行教学使用。 [游戏目标] 通过“吹乒乓球”游戏了解乒乓球会滚的特性,在游戏中产生对玩球的兴趣。 [游戏准备] 桌子5张、塑料筐5只,乒乓球若干个。 [游戏过程] 谈话导入,引发兴趣 1.师:我们看外面的这棵大树,如果现在有大风吹它的话,它会怎么样? 2.师(出示乒乓球):那如果风吹的不是大树,是这个小小的乒乓球呢? 熟悉动作,掌握技巧 师:今天我们就来吹乒乓球,好不好?在吹乒乓球之前先跟老师来活动活动一下。(带幼儿做几个深呼吸动作) 教师示范吹球:双腿微屈,嘴巴轻轻地对着乒乓球吹气,注意控制球的滚动方向,将球

吹到桌子边的塑料筐里。 幼儿先分组按序自由练习吹球,教师巡回指导。 教师根据幼儿吹球情况作小结。 幼儿继续分组按序自由练习吹球。 明确规则,开展游戏 教师讲解比赛规则 幼儿4人一组,分成5组。 每轮游戏用时为3—4分钟,共进行两轮。教师发出“开始”口令后,比赛的幼儿尽力将乒乓球吹入塑料筐中。每个幼儿每次只吹一个球,球进网或掉在地上后就轮到下一个幼儿参赛,依次进行。每轮游戏结束后按塑料筐中乒乓球个数排名次。 游戏结束,活动延伸 师:今天我们学习了吹乒乓球,还进行了比赛,你们表现得都很棒,和乒乓球玩得也很开心,下次我们还要跟乒乓球玩更多的游戏呢。回到教室后我们把这个本领教给其他的好朋友,好不好? XX文讯教育机构 WenXun Educational Institution

运动处方实验报告

运动处方实验报告 实验目的:按照运动处方有计划地进行健身锻炼,能够明显的减少动伤病的发生率,提高身体机能,以便达到预防慢性疾病的目的。实验原理:运动处方是指导人们有目的、有计划、科学的进行锻炼的重要方式。根据患者、运动员、健身教练者的年龄性别健康状况以及身体锻炼经历还有心肺功能等机能水平,以运动处方的形式制定系统化、个性化的健身方案。 实验工具和材料:记录笔笔记本计算器电脑 实验对象:乒乓球专修队员 实验步骤:调查被实施运动处方者一般情况和运动情况: 一般情况:不经常锻炼或者锻炼次数很少。 运动情况:从小爱好体育运动,从高二开始参加正规的体育训练,上大学后曾在推铅球的运动中脚趾受伤骨折。 对丁玉峰同学进行饮食营养调查和统计, 1、调查今日饮食:早餐:米线200g 豆浆一杯:300g 中餐:米饭:400g青椒100g 猪肉:85g 晚餐:一个苹果200g一根火腿肠:50g米饭300g 2、今日运动情况:打乒乓球1小时30分钟步行30分钟 基础代谢(kJ)=体表面积(㎡)×基础代谢率(kJ/㎡/h)×24(h)部分食物和运动的热量表:(数据由网上查得) 米线:346/100 豆浆:13/100

米饭:117/100 猪肉:349/85 青椒:28/100 苹果:106/200 火腿肠:106/50 步行每半小时消耗75卡乒乓球每半小时消耗180卡 由计算得出今天吃的食物所提供的能量为1437cal 当天运动所消耗的能量为225kcal+75kcal+432kcal=615cal 所以今天的能量消耗为正平衡,消耗的能量小于于提供的能量。3、通过了解被实施运动处方者的爱好,结合计算所得的24h饮食量供应情况进行分析及选择合适的运动项目。据调查他的爱好是球类运动,但我认为该同学饮食结构单一,蛋白质摄入明显不足,由于他要练习球类,球类运动属于一种高强度的运动,据<中国居民膳食蛋白质推荐摄入量>(运动营养学P19表1-9),同学每天蛋白质摄入量应为70g/d,所以我先让他改善饮食结构,多吃一些蛋白质含量丰富的食物,如:鸡蛋,牛奶,牛肉等。然后根据他的爱好制定如下运动处方:先进行2分钟的慢跑热身,然后做3分钟的灵敏性练习,再做5分钟的力量练习,最后进行10分钟的耐力练习。 4、改善饮食结构后,选择合理的时间进行一次运动。 5、结果分析: 从今天的实验来看,运动效果好,在今后的生活中,依然要注意 营养的搭配饮食,避免健康问题的出现。

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

有趣的吹乒乓球比赛作文400字

有趣的吹乒乓球游戏作文字 今天上作文课,老师带来三个乒乓球,两个小盆子。大家满腹疑惑地问老师要做什么?老师神秘地说:“我们今天来玩吹乒乓球游戏。”听到这儿,我们都欢呼起来,同学们有的在大喊,有的开心得跳起来,还有的说:“吹乒乓球是我的强项,肯定难不倒我。”老师让同学们安静下来,宣布游戏规则:在半分钟之内,把三个乒乓球从一个盆子吹到另一个盆子里,嘴不能碰到乒乓球,否则不算。 游戏开始了,同学们都胸有成竹,一个接着一个,有的同学一上来就摆好姿势,用嘴轻轻一吹,球立马被吹到另一个盆子里了。有的同学虽然费了很大劲,但却一个也没吹过去。 最好笑的是述成同学,他看起来非常着急,鼓着腮帮子,脸涨得通红,对着球猛吹,可乒乓球却一点都不听话,他越使劲吹,乒乓球不但不前进反而后退,像专门跟他作对似的在盆子里打转转,就当老师宣布时间快到的时候,同学们都跑上去为他加油。最后,他终于吹进了一个球,但因为用力过猛,满脸都是水珠,逗得大家哈哈大笑起来。 这个游戏真有趣,大家都非常喜欢。从游戏中,我也明白了;做事不能蛮干,有时还是要讲究技巧。 有趣的吹乒乓球比赛作文字 今天下午,我们在红蜻蜓作文班上,比赛吹乒乓球。 老师拿来了四个装满水的碗和两个乒乓球,游戏规则是:分别把乒乓球从一个碗里吹进另一个碗里。老师把我们分成两大组,每组各派五位同学参赛。比赛开始了,有的同学用力吹,水溅得满脸都是,有的同学太紧张,乒乓球老是在水里转圈圈呢,还有的同学由于没办法控制乒乓球,让它前进,它却偏偏后退,小观众们都为他捏了把汗。大家不时为参赛的同学打气:“加油!加油!” 轮到我上台了,我轻轻地吸了一口气,把乒乓球吹到了接近第二个碗的边沿,用力一吹,就把球给吹过第二个碗,给自己队争得了一分。另一队的队员也不相让,轻轻一吹,他们也把一个球吹过第二个碗。结果我们两队打成了平局。我们决定要再比一次,一定要分出胜负。这一局,我们队的队员已经掌握了技巧,一下子就把球吹到了第二个碗。可对手无论怎么吹,一个也吹不过去,结果我们队赢了,观众也欢呼起来。我心里高兴极了。 我觉得吹乒乓球好玩极了。不过任何比赛都要掌握技巧,才能取得胜利。

基于某Verilog地乒乓球游戏电路设计

大学EDA课程设计 : 学号: 班级: 专业: 学院:

目录 0 引言............................................................................................................................. - 2 - 1 设计要求..................................................................................................................... - 2 - 2 设计思路..................................................................................................................... - 2 - 3 Verilog程序 ................................................................................................................ - 3 - 4 功能仿真..................................................................................................................... - 9 - 5 总结........................................................................................................................... - 11 - 致...............................................................................................................................- 11 - 参考文献.......................................................................................................................- 11 -

五年级科学下册实验报告单

科学实验报告单1 实验名称物体的沉浮 实验目的观察物体的沉浮 实验材料水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验过程实验一:取小石头、木块、橡皮、针等放入水中,观察它们的沉浮。 实验二:1、把水槽放在展台上,从袋中取出泡沫、回形针、萝卜等分别放入水中观察它们的沉浮 2、把小石块、橡皮、泡沫块、萝卜分别切成二分之一、四分之一、八分之一放入水中观察它们的沉浮 实验结论木块、塑料、泡沫在水中是浮的;小石头、回形针在水中是沉的。由同一种材料构成的物体改变它们的体积大小,在水中的沉浮是不会发生改变的。

科学实验报告单2 实验名称影响物体沉浮的因素 实验目的研究物体的沉浮与哪些因素有关 实验材料水槽、小石块、泡沫塑料块、回型针、蜡烛、带盖的空瓶、萝卜、橡皮、一套同体积不同重量的球、一套同重量不同体积的立方体、小瓶子、潜水艇 实验过程实验1.按体积大小顺序排列七种物体,再标出它们在水中是沉还是浮。想一想,物体的沉浮和它的体积大小有关系吗? 实验2、按轻重顺序排列七种物体,再标出它们在水中是沉还是浮。想一想,物体的沉浮和它的轻重有关系吗 实验结论不同材料构成的物体,如果体积相同,重的物体容易沉;如果质量相同,体积小的物体容易沉。

五年级科学下册实验报告单 科学实验报告单3 实验名称橡皮泥在水中的沉浮 实验目的橡皮泥排开水的体积 实验材料水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验过程实验一:找一块橡皮泥做成各种不同形状的实心物体放入水中,观察它们的沉浮。 实验二:1、让橡皮泥浮在水面上,用上面同样大小的橡皮泥,改变它的形状,即把橡皮泥做成船形或者空心的,橡皮泥就能浮在水面上。 2、取一个量杯,装入200毫升的水,记录橡皮泥在水中排开水的体积。 实验结论实心橡皮泥质量不变,形状改变,体积也不变,橡皮泥的沉浮不会发生改变。 橡皮泥在水中排开水的体积越大,浮力越大。

相关文档
最新文档