数字电路论文——四位乘法器的VHDL语言设计

数字电路论文——四位乘法器的VHDL语言设计
数字电路论文——四位乘法器的VHDL语言设计

烟台师范学院学报(自然科学版)

Yantai No r ma l U niv er sity Jo ur na l (Na tural Science )2002,18(2):153—155教学

研究 收稿日期:2002-03-03

作者简介:刘姝延(1964—),女,讲师,大学本科,主要从事电子技术教学与实验.

四位乘法器的V HDL 语言设计

刘姝延1,杨世平2

(1.烟台师范学院物理系,山东烟台264025;2.延安大学物理与电子信息系,陕西延安716000)

摘要:介绍了使用V HDL 语言设计的4位乘法器,给出了功能仿真波形,举例说明了实现电

子设计自动化(ED A)的过程。

关键词:V HD L 语言;四位乘法器;功能仿真;电子设计自动化

中图分类号:T N 702 文献标识码:A 文章编号:1004-4930(2002)02-0153-03

随着计算机技术和大规模集成电路技术的发展,为我们所熟悉的传统的通过逻辑图和布尔代数设计数字系统的方法已远远不能满足现代电路的要求,取而代之的是硬件描述语言HDL(H a rdw are Descriptio n La ng uage),这是硬件设计领域的一次变革。最有代表性的HDL 是美国国防部开发的超高速集成电路硬件描述语言V HDL(Very H ig h Speed Integ rated Circuit Hardw are Description Lang uag e ),是唯一被接纳为IEEE 标准的语言。用V HDL 硬件描述语言设计数字系统的设计方法是用语言编程来表示逻辑器件及系统硬件功能与行为的全新硬件电路设计方法,它的语言形式、描述风格与句法十分类似于一般的计算机高级语言,具有以下几个特点[1]

:(1)采用自上而下(To p Dow n)的高级设计方法。与传统的自下而上的设计方法不同,V HDL 硬件描述语言从系统总体的要求出发,自上而下地分层次对系统进行描述、仿真和综合,避免了后期整体仿真带来的复杂化或因存在较大缺陷而要重新设计系统的可能,大大缩短了系统设计的周期。(2)系统的硬件描述能力强。可以描述从系统的数学模型直至门级电路。强大的行为描述能力,使得设计避开具体的器件结构,不必在设计电路前写出该电路的逻辑表达式和真值表,从逻辑行为上描述和设计大规模电子系统,只定义系统的抽象行为功能,摆脱了电路细节设计的束缚,编程与工艺无关,大幅度降低了硬件电路设计的难度,是设计大规模电子系统的重要保证。(3)大量采用可编程逻辑器件和ASIC 芯片。不需要受使用通用逻辑元、器件的限制,电路尺寸大为缩小,保密程序大为提高。(4)V HDL 语言标准、规范,易于共享和复用。V HDL 语言作为一种IEEE 工业标准,目前大多数EDA 公司纷纷使新开发的电子设计软件与V HDL 语言兼容。可见,使用V HDL 语言来设计数字系统为大势所趋。 本文用V HDL 语言设计了一个四位乘法器,举例说明利用V HDL 语言实现电子设计自动化(EDA)的过程。

1 四位乘法器的设计

四位乘法器输入信号(被乘数、乘数)为X (X 3X 2X 1X 0)和Y (Y 3Y 2Y 1Y 0),输出信号为P (P 7P 6P 5P 4P 3P 2P 1P 0),P =X ×Y 。程序设计中利用Y (i )(i =0,1,2,3)分别与X 相乘后左移i 位再累加的方法,以实现乘法运算。四位乘法器源程序mul 4.vhd [2,3]:

LIBR ARY ieee ;

U SE ieee.std log ic 1164.a ll;

U SE ieee.std log ic unsig ned.all;

EN T IT Y m ul 4IS

PO RT (X ,Y :IN ST D L O GIC V ECT O R (3do wnto 0);

P :O U T ST D L O GIC V ECT O R(7do wnto 0);

EN D mul4;

ARC HI T ECT U R E a O F mul 4IS

SI GN A L temp 1:ST D LO GIC V ECT O R (3dow nto 0);

SI GN A L temp2:ST D LO GIC V ECT O R(4dow nto 0);

SI GN A L temp3:ST D LO GIC V ECT O R(5dow nto 0);

SI GN A L temp 4:ST D LO GIC V ECT O R (6dow nto 0);

BEGIN

temp1〈=X W HEN Y(0)=′1′EL SE ″0000″;

temp2〈=(X &′0′)W HEN Y (1)=′1′EL SE ″00000″;

temp 3〈=(X &″00″)W HEN Y (2)=′1′EL SE ″000000″;

temp4〈=(X &″000″)W HEN Y (3)=′1′EL SE ″000000″;

P 〈=temp1+temp2+temp3+(′0′&temp4);

EN D a;

2 对源程序进行编译并仿真

为了验证设计的正确性,利用美国Altera 公司开发的EDA 工具软件M AX +PLU S Ⅱ,指定器件为M AX 7000系列的EPM 7032LC 44-6,对m ul 4.vhd 进行编译,直至通过。功能仿真波形如图1所示,生成电路符号如图2所示

图1 四位乘法器功能仿真图2 四位乘法器电路符号

经仿真验证知,用V HDL 语言设计的乘法器运算正确,达到了设计要求。编译、逻辑154烟台师范学院学报(自然科学版)第18卷 

综合时,V HDL 综合器完成将源文件自动地转换为门级电路,并生成相应的网表文件和各种报表,可将设计内容下载到所选用的EPM 7032LC44-6器件中去,完成EDA 设计工作。

3 结语

上述四位乘法器经仿真,结果完全达到了设计的目的。利用V HDL 语言实现电子设计自动化,设计出体积小而系统性能高的数字系统,彻底改变了传统的数字系统的设计方法、设计过程,无疑将在现代数字系统设计中起越来越重要的作用。

参考文献:

[1] 侯伯亨,顾新.V HD L 硬件描述语言与数字逻辑电路设计[M ].西安:西安电子科技大学出版社,

1998.5—9.

[2] 廖裕评,陆瑞强.CPLD 数字电路设计——使用M AX+PL U S Ⅱ[M ].北京:清华大学出版社,

2001.217—221.

[3] J oh n F W aker ly .DIG IA L D ESIGN Principles &Prac tices (Third Editio n )[M ].北京:高等教育出

版社,2001.446—543.

Design of a 4-bit multiplier using VHDL language

LIU Shu -yan 1,YAN G Shi -ping

2(1.Departm en t of Physics ,Yantai Normal University ,Yan tai 264025;2.Deparment of

Ph ysics and Electronic Information ,Yan

’an University ,Yan ’an 716000,China )Abstract :A 4-bit m ultiplier is desig ned by using V HDL language,emulatio n o scillog ram is giv en,and the m ethod of electro nic desig n a utoma tion(EDA)is introduced.

Key words :V HDL lang uag e ;4-bit m ultiplier ;simulation o f function ;electro nic desig n automation

(责任编辑 迟玉华)155第2期刘姝延,等:四位乘法器的V HDL 语言设计

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

『数字电路』课程设计指导书

『数字电路』课程设计指导书

『数字电路』课程设计指导书 一、教学目标 (一)课程性质 课程设计。 (二)课程目的 训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路的能力。 二、教学内容基本要求及学时分配 (一)课程设计题目 题目见附录I,原则上每人一题。 (二)设计内容 拿到题目后首先进行电路设计。然后在微机上进行原理图输入、编译和软件仿真,如满足设计要求,再进行下载和硬件实验。如硬件实验结果不满足要求,则修改设计,直到满足要求为止。 (三)设计要求 (1)按题目要求的逻辑功能进行设计,电路 2

各个组成部分须有设计说明; (2)必须采用原理图输入法; (3)软件仿真完成后,必须经教师允许方可进行下载; (四)使用的硬件和软件 硬件为可编程逻辑器件EPM7128S;软件为MAX+PLUSII。见附录。 三、主要教学环节 (一)设计安排 1. 课程设计时间为两周,每人一台微机; 2.第1、2天讲授设计需要的硬件和软件、 设计的要求、布置设计题目; 3.第3~8天学生进行设计; 4.第9、10天教师验收,然后学生撰写和 打印设计报告。 (二)指导与答疑 每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,不应过 3

分依赖教师。 (三)设计的考评 设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况,还要演示硬件实验结果。 教师根据学生设计全过程的表现和验收情况给出成绩。 四、课程设计报告的内容和要求 (一)课程设计报告的内容 按附录中给出的报告模板进行编写,用A4纸打印,左侧装订。 (二)课程设计报告编写的基本要求 (1)按设计指导书中要求的格式书写,所有的内容一律打印; (2)报告内容包括设计过程、软件仿真的结果及分析、硬件仿真结果及分析; (3)要有整体电路原理图、各模块原理图; (4) 软件仿真包括各个模块的仿真和整体电路 4

数字电路课程设计报告

目录 一.课程设计题目 二.设计的任务和要求 三.设计与调试 四.系统总体设计方案及系统框图 五.设计思路 六.电路连接步骤 七.电路组装中发生的问题及解决方案 八.所选方案的总电路图 九.实验结果 十.心得体会

一、课程设计题目 交通灯控制系统设计 二、设计的任务和要求 1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求; 2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒 三、设计与调试 1、按照任务要求,设计电路,计算相关参数,选择电子元器件 2、根据所设计的电路和所选择的器件搭接安装电路 3、接步骤进行调试电路 4、排除故障,最终达到设计要求 四、系统总体设计方案及系统框图 方案一:芯片设计 (1)芯片功能及分配 交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。 2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。 3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。整个设计共由以上三部分组成。 2)各单元电路的设计: 1. 秒脉冲信号发生器

数字电子技术课程设计

数字电子技术课程设计报告 指导老师:XXX 班级:XXX 学号:XXX 姓名:XXX 浙江理工大学本科课程设计任务书

1产品简介 红外线心率计就就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动就是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这就是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2 红外线心率计工作原理 2、1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 2、2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、与-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路就是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如图3 (b)所示。IC2、IC3、IC4都为LM741。

图5 信号放大、整形电路 因为传感器送来的信号幅度只有2~5毫伏,要放大到10V 左右才能作为计数器的输入脉冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形就是跟输入波形同相、且放大了的波形。放大后的波形就是一个交流信号。其中A 1、A 2的供电方式就是正负电源供电,电源为+12V 、-10V 。 A 1、A 2与周围元件组成二级放大电路,放大倍数A uf 为: 40006666R R R R A 6 8 34uf ≈?=?= 由于放大后的波形就是一个交流信号,而计数器需要的就是单方向的直流脉冲信号。所以经过V 3检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC 两阶滤波电路,滤波电路的作用就是滤除放大后的干扰信号。R 9、V 4组成传感器工作指示电路,当传感器接收到心跳信号时,V 4就会按心跳的强度而改变亮度,因此V 4正常工作时就是按心跳的频率闪烁。直流脉冲信号滤波后送入A 3的同相输入端,反相输入端接一个固定的电平,A 3就是作为一个电压比较器来工作的,就是单电源供电。当A 3的3脚电压高于2脚电压的时候,6脚输出高电平;当A 3的3脚电压低于2脚电压的时候,6脚输出低电平,所以A 3输出一个反应心跳频率的方波信号。 ⑷ 门控电路 555定时器就是一种将模拟电路与数字电路集成于一体的电子器件,用它可以构成单稳态触发器、多谐振荡器与施密特触发器等多种电路。555定时器在工业控制、定时、检测、报警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS 触发器FF 、比较器COMP 1、COMP 2与场效应管V1组成(参见图6(a))。当555内部的COMP 1反相输入 端(-)的输入信号V R 小于其同相输入端(+)的比较电压V CO (DD 3 2V V co =)时,COMP 1输出高电位,置触发器FF 为低电平,即Q=0;当COMP 2同相输入端(+)的输入信号S V 大于其反相输入端(-)的比较电压V CO /2(1/3V DD )时,COMP 2输出高电位,置触发器FF 为高电平,即Q=1。D R 就是直接复位端,0R D =,Q=0;MOS 管V 1就是单稳态等定时电路时,供定时电容C 对地放电作用。 注意:电压V CO 可以外部提供,故称外加控制电压,也可以使用内部分压器产生的电压,这时COMP 2的比较电压为V DD /3,不用时常接0、01μF 电容到地以防干扰。

数字电路课程设计

课题名称:数字电子技术 所在院系:电气工程系 班级:093353班 学号:37 姓名:肖龙 指导老师:邓春丽、王文平 时间:2010(12.27-12.31)

数字电子课程设计任务书 教研室主任签字:年月日

目录 设计任务与要求 (3) 总体方案设计 (3) 部分电路工作原理 (3) 总体电路设计 (4) 实验器材清单 (7) 总结 (8) 参考文献 (8)

一、设计任务与要求 1、抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在进行抢答时,显示器上显示选手的编号,并保持到主持人将系统清除为止。 二、总体方案设计与论证 如图所示为总体方框图。其工作原理为: 1、接通电源后,主持人将开关拨到“清除”状态,抢答器处于静止状态,编号显示器和指示灯灭,等主持人将开关置“开始”位置后,抢答器处于等候状态,此时额可以进行抢答。 2、抢答器完成,优先判断抢答的组号,并将编号进行锁存,然后通过译码器将编号显示在七段数码管上,并且扬声器提示。 3、如果再次抢答必须由主持人操作“清除”和“开始”状态的开关,即需要主持人清零。 三、部分电路工作原理 1、开关阵列电路 该电路由多路开关所组成,供抢答着使用,每一抢答者与一个开关相对应(开关S0—S7的代号分别是0、1、2、3、4、5、6、7,即抢答着的组号,便于主持人看到显示器上的数字后,能准确宣布谁是优先抢答者)。开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。 2、触发锁存电路

数字电子技术基础课程设计

电子产品 交通信号控制灯电路设计题目 班级名称 学生姓名1 电话号码 学生姓名2 电话号码 学生姓名3 电话号码 学生姓名4 电话号码 学生姓名5 电话号码

一 问题重述 用中、小规模集成电路设计一个交通灯控制系统,基本要求如下: (1) 要求主干道与支干道交替通行。主干道通行时,主干道绿灯亮,支干道红 灯亮,时间为60秒。支干道通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2) 每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3) 黄灯亮时,要求黄灯闪烁,频率为1Hz 。 (4) 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显 示。 二 课题分析 (1)系统整体设计 根据题目要求,得出交通灯顺序工作流程图如图1所示 图1 交通灯工作流程图 (2)设计原理图 分析要求,画出原理框图如图2所示。 图2 原理框图 主干道绿灯,支干道红灯,占60秒 主干道黄灯,支干道红灯,占5秒 主干道红灯,支干道绿灯,占30秒 主干道红灯,支干道黄灯,占5秒

(3)单元电路设计 1)秒脉冲发生器 秒脉冲发生器可以采用多谐振荡器直接振荡出1秒的脉冲信号,多谐振荡器可采用555定时器组成; 2)控制电路 主支干道控制电路分别是由三个以秒脉冲发生器为时钟的减数计数器构成,当电路稳定以后,第一个减数计数器运行,另外两个保持在一个固定值。减数器减到到零后会保持,再驱使第二个减数器运行,此时另外两个计数器处于保持状态,当其减到零后同样会保持,并让第三个计数器工作,当第三个计数器也减到零时,此时第三个计数器产生一个借位输出,以此信号为置数信号,使三个计数器重新置到一个固定值,然后第一个计数器重新运行,重复以上步骤。 3)信号灯 主干道:绿灯只要控制它的计数器不为零就亮,黄灯则在控制绿灯的计数器为零并且红灯不亮时才亮,红灯是在控制绿灯的计数器与控制黄灯的计数器为零时才亮。 支干道:红灯只要控制它的计数器不为零就亮,绿灯则在控制绿灯的计数器为零并且红灯不亮时才亮,黄灯是在控制绿灯的计数器与控制黄灯的计数器为零时才亮。 三选用器件 本设计需要用到一下器件:NE555、74LS192D、自带译码器的七段显示管DCD_HEX_DIG_RED、 NE555 引脚图: 图3 NE555引脚图 74LS192D 引脚图;

数字电路课程设计报告

摘要 数字电路八路花样灯控制电路是利用数字逻辑电子元件连接而成,具有8个受控制的输出端,通过输出8个有规律的信号以达到控制8个LED灯的目的。选择不同的芯片和不同的连接方式都会产生不同的控制信号并产生不同的花样灯。 本次课题设计要求8个LED灯最少要实现16种具有一定规律的花样灯。其实现方法有两种:第一种是最常见的,即由数字逻辑电路元件组成控制电路;第二种则是利用51单片机,通过编程控制输出电路。由于实验室不能提供单片机并且本学期数电课程与单片机关系不大,因此本次设计决定弃用第二种方法,使用第一种方法。最终实现方案是:利用555时钟芯片产时钟脉冲,一片74LS161产生分频脉冲,两片74LS161用于计数,最后用两片74LS194寄存器实现右移。

一、课题要求 1、设计目的 ⑴巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知 识的能力。 ⑵培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的 自学能力。 ⑶通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组 装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设 计方法。 ⑷学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力 和进行数字电子电路实验的基本技能。 2、设计课题及其技术要求 ⑴基本功能 ●有一个时钟电路。 ●有八个LED发光二极管输出电路。 ●至少16种变化的花样控制。 ⑵增加功能: ●64种以上变化的花样控制。 3、给定条件及元器件 ●要求电路主要选用中规模TTL集成电路74系列。(不能用专用集成 电路)。 ●本设计要求在数字电路实验箱上完成。 ●电源电压为5V。 二、方案论证 经过分析,要实现以上功能并符合课题设计的要求一共有两种方案可供选择,其主要差别是使用的芯片不同,以下是两种方案的简要介绍。 方案一: 电路使用的芯片有:555时钟芯片1个、74LS161芯片3个、74LS194芯片2

数字电子技术课程设计指导书_广东工业大学

数字电子技术课程设计指导书 第二版 物理与光电工程学院 电工电子部 2009年4月1日拟制 2013年5月6日排版

目录 1数字电子技术课程设计的目的与意义 (1) 2数字电子技术课程设计的方法和步骤 (1) 2.1设计任务分析 (1) 2.2方案论证 (1) 2.3方案实现 (2) 2.3.1单元电路设计 (2) 2.3.2参数计算 (2) 2.3.3器件选择 (2) 2.3.4安装调试 (3) 3数字电子技术课程设计题目(A-E任选一题) (3) 3.1选题A:功能数字钟的电路设计 (4) 3.1.1设计目的 (4) 3.1.2设计任务与要求 (4) 3.1.3选做 (4) 3.1.4数字钟的基本原理及电路设计 (4) 3.1.5设计要点 (8) 3.2选题B:交通灯控制电路设计 (8) 3.2.1设计目的 (8) 3.2.2设计任务与要求 (8) 3.2.3交通灯控制电路基本原理及电路设计 (9) 3.2.4设计要点 (12) 3.3选题C:简易数字频率计电路设计 (12) 3.3.1设计目的 (12) 3.3.2设计任务与要求 (13) 3.3.3数字频率计基本原理及电路设计 (13) 3.3.4调试要点 (17) 3.4选题D:洗衣机控制电路设计 (18)

3.4.1设计目的 (18) 3.4.2设计任务与要求 (18) 3.4.3洗衣机控制电路原理及电路设计 (18) 3.4.4调试要点 (21) 3.5选题E:四人智力竞赛抢答器 (21) 3.5.1设计目的 (21) 3.5.2设计任务与要求 (21) 3.5.3四人智力竞赛抢答器电路原理及设计 (22) 3.5.4设计要点 (24) 附:课程设计的考核办法(2008-10) (26)

数字电路课程设计 报 告

数字电路 课程设计报告 课题名称:篮球竞赛30秒计时器 姓名: 学号: 专业班级:通信工程1 指导教师: 设计日期:2013年1月5日 摘要:随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。电子课程设计是电子技术中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 在篮球比赛中,规定了一方的持球时间不能超过30秒,否则就被判做犯规。本课程设计的“篮球竞赛30秒计时器”,就可用于篮球比赛中,用于对球员持球时间30秒进行限制。一旦球员的持球时间超过了30秒,它自动的报警。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。它由秒脉冲发生器、计数器、译码显示电路、报警电路和时序控制电路五个部分组成。当计数器接收到秒脉冲后开始倒记数,等递减到0时,发光二极管亮光报警。通过控制电路来完成计时器的启动、计数、暂停/继续、译码显示电路的显示等功能。本电路用两个74LS192芯片构成递减计数器(30进制);控制电路由74LS00和74LS08构成;秒脉冲发生器由555集成定时器构成;两个数码管构成显示电路。 关键字 :秒脉冲发生器计时器光电报警模块化 一、设计原理及要求 设计原理 图1 篮球竞赛30秒计时器原理框图 篮球竞赛30秒计时器原理框图如图1所示。功能的电路采用模块化设计,分别都有各自的功能。

数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

(3)系统组成。 1.秒发生器:由555芯片和RC 组成的多谐振荡器,其555 上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 译码器 译码器 译码器 时计数器 分计数器 秒计数器 校时电路 振荡器 分频器 系统方框 图1

《数字电子技术课程设计》教学大纲.doc

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称: A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数: 1 周 学分:1 分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相 结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步 提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分 析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门 电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并 以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能 ( 如抢答计时及加分、减分电路等 ) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35 秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾 灯大约以 1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围 0-80 0C 的数字式温度计,精度± 1 0C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入 端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、 外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999 秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计:

红绿灯数字电路课程设计

《数字逻辑电路设计》课程设计总结报告 题目:红绿灯控制器 指导老师: 设计人员: 学号: 班级: 日期:2013年5月

目录 一、设计任务书 二、设计框图及整机概述 三、各单元电路的设计方案及原理说明 四、调试过程及结果分析 五、设计、安装及调试中的体会 六、对本次课程设计的意见及建议 七、附录(包括:整机逻辑电路图及元器件清单)

一、设计任务书 一、题目:红绿灯控制器 二、设计要求设计一个红绿灯控制器设计应具有以下功能 (1)东西方向绿灯亮,南北方向红灯亮。. (2)东西方向黄灯亮,南北方向红灯亮。 (3)东西方向红灯亮,南北方向绿灯亮。 (4 ) 东西方向红灯亮,南北方向黄灯亮。 要求有时间显示(顺数、逆数皆可),时间自定。(大于15秒以上)可添加其他功能。 三、给定条件 1.只能采用实验室提供的中小规模电路进行设计。(不一定是实 验用过的) 十字路口交通示意图

二、设计框图及整机概述 1、设计框图 2、整机概述 上图是整个交通灯电路的设计框图。首先,脉冲发生电路是用来给计数芯片产生脉冲的, 以便计数芯片可以正常的工作。控制系统是整个电路的核心,它控制着红绿灯的亮灭、数码管的显示以及控制计数 时间,所以对于这个部分的设计是非常重要的。计数系统我们可以用计数芯片160或者161 来实现,采用置数或者置零的方法都可以让实现某一个时间段的计数。 译码系统是用来显示时间的, 它将计数系统所记的时间用数码管显示出来,以便我们可以更清楚的知道红绿灯亮 灭的时间。最后的红绿灯部分用红黄绿三种发光二极管将我们所设计的电路功能直观的表现出来。

数字电路课程设计总结报告

四川航天职业技术学院 电子工程系课程设计专业名称:电气自动化 课程名称:数字电子技术设计 课题名称:数字时钟 设计人员:吴异 指导教师:申勇 2011年12月27日

摘要 数字钟是由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前5秒开始,蜂鸣器不停地响5秒钟。 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。 关键词:数字钟,振荡,计数,校正,报时

目录 二、设计框图及整机概述 (4) (一)设计框图 (5) (二)整机概述 (5) 三、各单元电路的设计方案及原理说明 (6) (一)振荡电路 (6) (二)分秒计数电路 (7) (三)校时电路 (8) 四、调试过程及结果分析 (9) 五、设计、安装及调试中的体会 (9) 参考文献 (10) 附录 (11) (一)整机逻辑电路原理图 (11) (二)PCB电路图 (12) (三)实物图 (13) (四)元件清单 (14)

相关文档
最新文档