四人智力竞赛抢答器电路原理及设计

四人智力竞赛抢答器电路原理及设计
四人智力竞赛抢答器电路原理及设计

目录

一、设计目的 (2)

二、设计任务与要求 (2)

1、设计任务 (2)

2、设计要求 (2)

三、四人智力竞赛抢答器电路原理及设计 (3)

1、设计方案 (3)

2、系统框图 (3)

3、方案比较 (4)

方案1 (4)

方案2: (4)

方案3: (4)

4、单元电路设计及元器件选择 (4)

(1)抢答电路 (4)

(2)定时电路 (7)

(3)报警电路 (9)

(4)时序控制电路 (10)

(5)元器件列表 (12)

5、四路抢答器总电路图 (13)

四、设计过程中的问题和解决办法 (13)

五、设计成品的优点与不足 (14)

六、心得体会 (14)

七、实物图 (15)

1、正面 (15)

2、反面 (16)

四人智力竞赛抢答器

一、设计目的

1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计任务与要求

1、设计任务

设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。

2、设计要求

(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。

(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。

(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

(6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。

三、四人智力竞赛抢答器电路原理及设计

1、设计方案

抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答,按动按钮,锁存器锁存相应的选手编码,同时用LED 数码管把选手的编码显示出来,并且暂停抢答时间的倒计时,同时用LED 数码管把选手的所剩抢答时间显示出来。

在选手按键抢答成功后或者抢答时间倒计时为零的时候都有1秒钟的报警以提醒主持人和选手。抢答的有效时间设定9秒,报警响声持续1秒。

接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器显示“0”,定时器显示设定时间“9”;主持人将开关置“开始”状态,宣布“开始”,抢答器工作,定时器倒计时。选手在定时时间内抢答时,抢答器完成该功能:优先判断、编号锁存、编号显示、倒计时锁定、扬声器提示。倒计时电路的数码管显示剩余时间,另一个数码管显示选手编号。

如果在抢答时间内没有选手抢答,则倒计时电路数码管显示数字“0”,另一数码管也显示“0”,即1至4号选手都没有抢答。同时音响电路给出1秒音响提示。

当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作

“清除”和“开始”状态开关。

2、系统框图

当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。

当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。

若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。 如图1:

主持人 选手

图1系统框图

3、方案比较

方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出,用74ls190完成倒计时电路,用一个555产生时钟信号提供给抢答电路,再接一个分频器,把分频后的时钟信号接给倒计时电路。

分析:用CD4511虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。

方案2:采用4D触发器74ls175和译码器来完成抢答部分.用74ls190完成倒计时电路的功能,用晶振产生脉冲,经分频电路,接到抢答电路和倒计时电路。

分析:74ls190为单时钟计数器,而且没有异步清零端。用晶振产生的脉冲更加精确,但是本设计不需要很精确的时钟秒冲信号,而且用到晶振要经过两次不同的分频,需要额外的两块芯片进行分频,增加成本。

方案3:采用4D触发器74ls175和译码器来完成抢答部分.用74ls192完成倒计时电路的功能,用两个555产生脉冲。

分析:用两个555产生时钟信号,可以单独设置每个时钟的频率,而且可以避免由一个时钟分频到抢答电路和倒计时电路时产生的跳线问题。所用元件也不是很多,而且可以完美实现题目的功能要求。

经过对比3个方案的优缺点,决定采用成本较低、跳线少、功能易实现的方案3。然后利用软件multism来进行仿真调试,再进行逐步改进。

4、单元电路设计及元器件选择

(1)抢答电路

电路如图2所示。

该电路完成两个功能:一、是分辨出选手按键的先后,并锁定。74LS175的四个输出端Q1、Q2、Q3、Q4分别表示选手1、2、3、4的编号,经过74LS32或门后连接到74LS47的A、B、C输入端,完成编码过程,同时译码显示电路74LS47让数码管显示选手编号;二、是要通过先抢答的选手的输出电平信号使其他选手随后的按键操作无效。

图2 抢答电路

该电路的工作原理为:当主持人控制开关处于“清除”时,D触发器的清零端为低电平,使D触发器被强制清零,输入的抢答信号无效。

当主持人将开关拨到“开始”时,D触发器Q非端前一状态为高电平,四个Q非端经过与门U9B为高电平,再和555脉冲信号信号和借位信号经过与门U9A仍为高电平,再送到D触发器的脉冲端。

当没人抢答时,Q1、Q2、Q3、Q4都为低电平,Q1非、Q2非、Q3非、Q4非都为高电平。与门U9B输出端为高电平,和555的方波脉冲信号相与仍为脉冲信号,送给D触发器脉冲端。

当一有人抢答时(例如一号选手),D1输入端为高电平,该信号在时钟输入的脉冲信号的上升沿到来时进入锁存器,然后该选手的编号通过74LS32和47LS47显示在数码管中。同时Q1非输出为低电平,经过与门U9B 后仍为低电平,经过与门U9A后使锁存器74LS175的脉冲输入端保持低电平,封锁了四个输入端的输入,同时保持了输出端的电平信号不变。这样不仅使得其他选手按键的输入信号不会被接收,而且抢答成功的一号选手的编号也被保存下来,直到主持人“清零”。这就保证了抢答者的优先性及抢答电路的准确性。

当选手回答完毕,主持人控制开关S是抢答电路复位,以便进行下一轮抢答。

四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化成8421BCD码,进而送给7段显示译码器。其真值表a:

表a锁存编码真值表

由该表可以看出,747LS175不能直接与74LS47连接,因为Q3=1时,对应的编码器输入A=1,B=1。这时就通过二输入或门74LS32使锁存、编码一一对应(如图2)。编码器的D输入端不需要,接地使其为0。

74LS175的逻辑引脚图与功能表如下:

图1-6 74LS175的逻辑引脚图

74LS175是一个单向上升沿触发的四D 触发器、互补输出,有公用的时钟和公用的清零。时钟的触发产生于特定的电压电平上,同脉冲的正跃变时间无直接关系。当CLK引脚输入上升沿时,1D-4D被锁存到输出端(1Q-4Q)。不管时钟输入的电平是高或是低,D 输入信号不影响输出。清零端CLR为低电平有效,即该引脚接低电平后,四个输入信号无效,四个输出为为低电平。只有CLR接高电平时,74LS175才能正常工作。

表b 74LS175功能表

备注:R D即仿真图中的CLR,CP即仿真图中的CLK。

锁存电路的脉冲信号:由555产生的140HZ的脉冲信号,为74LS175的CLK端提供方波脉冲,使其能正常工作。

(2)定时电路

如图3。

定时9秒,把74LS192对应的9,10,1,15四个端子预置为“1001”。当复位开关按下时,给74ls192一个低电平,从而开始倒计时,每来一个脉冲信号进行减计数一次。当有选手抢答或借位信号时,就使得74ls192的输入脉冲变成低电平,从而实现倒计时的停止。再按复位键时,再一次倒计时。

图3 定时电路

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能。LOAD为预置数端,低电平时把输入端置成预置数;CLR为清零端;UP为加计数端;DOWN为减计数端;BO为借位输出(低电平输出);CO为进位输出端(低电平输出)。

计数器的时钟脉冲:由秒脉冲电路555提供,其脉冲频率为1HZ,即1秒变

化一个周期。

它基本原理是,由于电容C的两端的电压不能突变,定时器的2端电压低

触发端为低电平,输出端3为高电平。电源经过R1、R2给电容C充电,当电容

的电压充到电源电压的2/3时,555内部的MOS管导通,输出为低电平。接着

电容通过R2和已经导通的MOS管放电,当电容的两端电压下降到低于1/3的电

源电压时,MOS管截止电容放电停止,此时电源通过R1、R2再次向电容充电,

如此反复,形成震荡,从而在3端得到时钟脉冲源输出。

图 4 信号发生电路555产生的脉冲信号的周期计算公式:T=0.69*(R1+R2)* C

(3)报警电路

由74ls121和蜂鸣器构成的报警电路,但

仿真中没有74ls121,因此用功能相同的

MONOSTABLE VIRTUAL(后文简称A1)来代替仿

真,如图4所示。其中A1的脉冲输入端是由复

位信号和Q非的与信号和借位信号与在一起来

提供的。当其中一个信号为低电平时,使得A1

得到一个下降沿脉冲,从而使A1的Q端输出一个宽度为一秒的高电平,那么蜂鸣器就会响一秒。反之,电路停振,蜂鸣器不响。

图4 报警电路74ls121为不可重复的单稳

态触发器,一旦被触发进入暂稳

态以后,再加入触发脉冲不会影

响电路的工作过程,必须在暂稳

态结束以后,它才接受下一个触

发脉冲而转入暂稳态。输出脉冲

的宽度由Rext和Cext的大小决

定。蜂鸣器的音响为1秒,由公

式t w=0.69 * Rext * Cext,设置74LS121的外接电阻和外接电容的值。

蜂鸣器:有绿色电路板的一种是无源蜂鸣器,没有电路板而用黑胶封闭的一种是有源蜂鸣器。有源蜂鸣器内部带震荡源,所以只要一通电就会叫而无源内部不带震荡源,所以如果用直流信号无法令其鸣叫。必须用2K-5K 的方波去驱动它。

另外,为了加大蜂鸣器的音量,用一个三极管驱动它。三极管接成共集电极输出形式,电压跟随,增大电流。(4)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

1)主持人将控制开关拨到“开始”位置时,抢答电路和定时电路进入正常抢答工作状态。

2)当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

3)当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

智力抢答器的设计

一:摘要 抢答器是在智力竞赛中为了答题时方便进行抢答而设计的一种优先判决器电路,本次的课程设计要求是可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参加者按抢答开关,则该组指示灯亮并用组别显示电路显示抢答者的组别。此时,电路具备自锁功能,使别组的抢答开关不起作用。设置记分电路。每组在开始时预置成100分,抢答后主持人记分,答对依次加10分。设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别显示电路显示出犯规组别。按照这样的规律进行智力竞赛抢答游戏,要完成这些逻辑功能,这个电路应该包括鉴别模块,计数模块,报警模块,译码模块,计分模块,分频模块。 关键词:抢答封锁鉴别计数报警 二:设计要求 1:抢答器同时供四组选手比赛,分别用四个按钮S0,S1,S2,S3表示。 2:设置一个系统清除和开始抢答的总控制开关又主持人作用RST. 3:抢答器具有锁存与显示功能,即锁定最先抢答的选手的编号并由LED显示出来 4:选手的抢答实行优先制,即当第一个选手按下抢答键时,其他选手的按键不再有效直到主持人将系统清楚 5:抢答器具有定时功能,每次抢答时间先前设定,超出抢答时间就会出现报警提示,此轮抢答作废 6:如果答对由主持人记分。初始预置100分,每答对一次加十分 三:方案论述 3.1抢答器的鉴别模块 在这个模块中主要实现的是抢答过程中的前大功能,如果选手出现超前抢答则发出警告报警声,并能记录抢答者编号。实现当有一路抢答器按键按下时,其他抢答器信号将被改抢答器封锁而不能正常抢答。其中四个抢答信号:S0,S1,S2,S3;抢答状态显示信号states 抢答与报警时钟信号clk2;系统复位信号rst;报警信号warm. 3.2抢答器计数模块 在这个模块中主要实现抢答器的计时功能,在有抢答器按键按下后的20秒的倒计时,并且在20秒内倒计时后无人抢答就显示抢答超时并报警提示。在这其中有时钟信号clk1;系 统复位信号ret;抢答是能信号start;无人抢答信号warm;计时终止信号stop;计时十位和计时个位信号tb,ta。 3.3报警模块 在这个模块中主要实现抢答过程中的报警功能。在有限时间内无人抢答或者超前抢答都会用报警声来提示。其中包括计时停止信号stop;状态输出信号alm;计数脉冲clk。 3.4译码模块 在这个模块中主要实现抢答过程中将BCD码转换成7段得功能。 3.5分频模块 在这个模块中主要实现抢答过程中所需要的时钟信号。 3.6记分模块 这个模块主要实现抢答者答对时加十分的功能。 3.7顶层文件

(完整word版)四人竞赛抢答器实验报告

数电课程设计实验报告 设计课题:四人抢答器 学院:信息工程学院 专业:通信工程 班级: 学号: 姓名: 指导老师:

四人抢答器实验报告 一.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 二.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并分别让四盏LED亮来表示,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示不同选手的灯会亮。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示所代表的的LED灯亮。 4.可用555定时器产生频率为1k H Z的脉冲信号,作为触发器的CP信号。三.四人竞赛抢答器电路原理及设计 系统原理框图:

1、设计方案 抢答器具有锁存、响铃、显示。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用代表不同选手的LED显示出来,蜂鸣器响铃。接通电源后,主持人将开关拨到“清除”状态,,编号显示器为0,此时才可以再次抢答。选手在抢答时,抢答器完成:编号锁存、编号灯显示,响铃。 2.单元电路设计及元器件选择 (1)抢答电路 电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁定74LS175的功能真值表即优先抢答者的编号,同时代表不同选手的LED灯电路亮,蜂鸣器鸣叫;二是要使其他选手随后的按键操作无效。实现该电路的功能的主要芯片是74ls175(图1为功能表,图2为逻辑功能图,图3为锁存器电路) 图一 图二

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

4路智力竞赛抢答器

信息与电气工程学院 课程设计说明书(2013 /2014学年第二学期) 课程名称:单片机应用 题目:4路智力竞赛抢答器 专业班级:自动化 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2014年07月10日

一、课程设计目的 通过长达两周的单片机课程设计,使我加深对《单片机原理及应用》课程所学理论知识的理解,并将理论与实践相结合。结合课程设计的内容,学会单片机最小系统及外围电路的设计,基本电子元器件的使用,利用Protel软件绘制电路原理图和PCB图,编写程序并结合protues进行仿真,检查电路的合理性及可行性,进行软硬件联机调试,发现问题并解决问题。学会查阅相关专业技术资料及设计手册,提高团队合作及独立解决问题的能力。 二、课程设计任务及要求 2.1 原始数据及主要任务 采用STC90C52作为控制单元,实现4路智力竞赛抢答器的设计。 1.设计键盘输入电路。 2.设计显示电路。 3.合理分配地址,编写系统程序。 4.利用Protel设计硬件电路原理图和PCB图。 5.用protues仿真 2.2 技术要求 设计一台以MCS-51为核心的可供4名选手参加比赛的智力竞赛抢答器。4名选手各一个按键抢答,主持人一个按键用来系统清零和开始;1个数码管显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器响;选手抢答成功时,一个数码管显示选手号,同时蜂鸣器发3声间隔1秒笛响,倒计时停止。初始状态,2个数码管均为0,当抢答成功时,时间数码管显示暂停时间,选手号数码管显示选手号,直到系统清零。 三、设计思路及原理 3.1 设计思路 设置5个独立按键,其编号分别是1到5,key1为主持人按键,key2-key5分别为1-4号选手按键。主持人未按键时,其他选手抢答无效,只有当主持人按下开始按键时才可以进行抢答,左边数码管从“9”到“0”开始倒计时,当4个按钮只要有一个按下即只要有人抢答时,左边数码管倒计时停止,右边数码管显示选手编号,蜂鸣器发出3声间隔1秒笛响,选手前面的指示灯也一同点亮,其他抢答都无效。当选手答完后,由主持人按键将数码管清零,继续开始抢答。若10秒内无人抢答,则声鸣器发出超时报警声。选用两个共阳极数码管作为显示,数码管倒计时采用定时器T0进行1S钟计时。 3.2设计原理图

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

八路智力竞赛抢答器课程设计绝度详细

电子技术课程设计 八 路 智 力 抢 答 器 院系: 电气工程与自动化 班级: 姓名: 学号: 指导老师:

目录 一引言 (1) 1.1 设计要求 (1) 1.2 功能要求 (2) 1.3 整体概要 (2) 二方案设计与论证 (3) 2.1 方案一 (3) 2.2 方案二 (3) 三系统分析与设计 (4) 3.1 抢答器电路设计与相关元器 (4) 3.2 定时电路设计与相关元件 (6) 3.3 报警电路设计与相关元器件 (8) 3.4 时序电路与相关元器件 (8) 3.5 智力抢答器电路原理图 (9) 3.6 元器件清单 (10) 四电路仿真 (11) 五实物制作 (13) 六元器件清单 (16) 七设计总结体会 (17) 八参考文献 (18)

一引言 智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中非常常见的一种答题方式。抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。 1.1、设计要求 (1)设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛.他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 (2)节目主持人设置一个控制开关,用来控制系统的复位和抢答开始. (3)抢答器具有数据锁存和显示功能.抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器发出声音提示.此外封锁输入电路,禁止其它选手抢答.优先抢答的选手的编号一直保持到主持人将系统复位为止. 1.2、功能要求 (1)抢答器具有定时抢答功能.且一次抢答的时间可由主持人设定.当节目主持人启动”开始”键后,要求定时器立即开始减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5S左右. (2)参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统消零为止. (3)如果定时抢答器时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器显示00. 1.3、整体概述 (1)数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分

四人智力竞赛抢答器课程设计报告

一、设计题目 四人电子抢答器 二、设计功能 1.基本功能 (1)抢答器同时供4名选手比赛,分别用4个按钮key5~key8表示。 (2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动"开始"键后,定时器进行减计时。 (2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效, 3.自主功能 (1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。 (2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。 (3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。 (4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。 三、原理电路设计:

1、方案 抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示主持人电路: (1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 (2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下 (3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T 触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。 显示电路和分频电路上课老师讲的很具体明了就不一一详叙。 2、单元电路设计 (1)、锁存器电路的设计 锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下: 锁存器的单元电路设计如下:

相关文档
最新文档