pl2303烧录器电路图

pl2303烧录器电路图

自制交流自动稳压器

自制交流自动稳压器 目前在我国偏远的山区及农村,电网电压极不稳定,而且电压普遍偏低,有的电网电压只有120V 左右。在这样的电网中,电视机及其它家用电器就无法正常使用了。市场上虽有较多的稳压器,但使用起来效果并不怎么好,且售价较高。笔者为了解决这一问题,特设计了一台造价不高、元器件易购的稳压器,适合无线电爱好者自制。电路原理:本稳压器的电路原理如下图所示。它主要由供电、基准电压、电压取样比较等几个单元电路组成。 市电从变压器的1、2头输入,3、4头为自耦调压抽头,5、6头为控制电路的电源及取样抽头。市电电压正常时,因C点电压始终为3V(即R1降压DW稳压所得),A、B点均大于3V,故A1、A2输出低电平;当市电电压下降时,5、6头的电压也随之下降,A点电压也跟着下降,当A点电压下降到低于3V时,A1输出高电平,使三极管V1饱和导通,继电器K1吸合,将调压器输出调于1、3头;当市电电压继续下降时,同理B点电压低于3V时,(VA 反之,如果电压升高时,B 点电压也随之升高,当B点电压高于3V时,A2输出低电平,V2截止,H2释放,输出端调至1、3头;当市电电压继续升高时,A点电压高于3V,A1输出低电平,V1截止,K1释放,输出端调至1、2头。A1、A2为运算放大器,在这里作电压比较器用;IC1为三端稳压块,它为运算放大器及继电器提供供电电源;VD5、VD6为保护二极管。元器件的选择:IC1选用LM78L06。A1、A2选用LM358。V1、V2选用9013。继电器选用4123、电压为6V。DW选用3V稳压管。VD1~VD4选用1N4007,VD6选用1N4148。变压器的铁芯可根据稳压器功率而定,笔者选用的是E 型24铁芯,线圈参数为:1~2用?0.22mm漆包线绕1800圈;2~3用?0.27mm漆包线绕400圈;3~4用?0.27mm漆包线绕850圈,5~6用?0.21mm漆包线绕145圈。其它元件参数按图中所标注选用。安装与调试:本稳压器应安装在金属机壳内,并具有较好的散热孔,在电路装配完成后将RP1及RP2调至最大阻值,用调压器将输入电压调至180V,然后调RP1将A点电压调整在2.9V,此时A1输出高电平,V1导通,继电器K1吸合,将输出端自动调至1、3头,输出电压为220V左右;然后再调调压器使输入电压为140V(此时输出电压为180V),调整RP2,使B点电压为2.9V,此时A2输出高电平,V2导通,继电器K2吸合,将输出端自动调至1、4头,使输出电压再次升高到220V左右。按图中所给数据,在电网电压低至120V时,电视机仍能正常收看。需要说明的是:由于继电器的吸合电流大于释放电流,输出电压会有一定的误差,需要反复调整RP1和RP2,以达到最佳状态。

2选1多路选择器 EDA实验报告

EDA实验报告 学生姓名:asfmla;m 学号:eafvpa[cv专业班级:电子3班 组合电路设计 一、实验目的 熟悉quartusⅡ的VHDL文本设计全过程,学习简单组合电路的设计、多层次电路设计、仿真。 二、实验内容 实验内容:首先利用quartusⅡ完成2选1多路选择器(例4-3)的文本编译输入(mux21a.vhd)和仿真测试等步骤,最后在实验系统上硬件测试,验证此设计的功能。将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。引脚锁定以及硬件下载测试。建议选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接扬声器spker。通过短路帽选择clock0接256HZ信号,clock5接1024HZ信号,clock2接8HZ信号。最后选行编译、下载和硬件测试实验。 三、实验器材 Quartus II软件。 四、设计思路/原理图 五、实验程序 实验内容1:二选一: library ieee; use ieee.std_logic_1164.all; entity mux21a is port(a,b: in std_logic; s: in std_logic; y: out std_logic); end entity; architecture dataflow of mux21a is begin

y<=a when s='0' else b; end architecture; 实验内容2:三选一 library ieee; use ieee.std_logic_1164.all; entity mux31a is port(a1,a2,a3: in std_logic; s0,s1: in std_logic; outy: out std_logic); end entity mux31a; architecture m31a of mux31a is component mux21a port( a,b: in std_logic; s: in std_logic; y: out std_logic); end component; signal tmp: std_logic; begin u1: mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp); u2: mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy); end architecture; 六、实验步骤 在E盘新建一个文件夹,用于存放工程。打开quartus,新建工程,然后选择新建VHDL 文件,命名为mux21a。在VHDL编辑窗口中输入实验程序后,进行编译、仿真;在实验一的基础上,新建VHDL文件,命名为mux31a。在VHDL编辑窗口中输入实验程序后,进行编译、综合、仿真;最后进行硬件测试。 七、仿真波形分析 二选一波形: 分析:当s=0时,y=a;当s=1时,y=b。 三选一综合图形及其波形

2输入数据选择器(mux2)集成电路课设报告

课程设计任务书 学生姓名:助人为乐专业班级:不计得失 指导教师:一定过工作单位:信息工程学院 题目: 二输入数据选择器版图设计 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务: 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD软件、L-EDIT软件软件。 (2)设计一个二输入数据选择器电路。 (3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对二输入数据选择器电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (2) 2.软件简介 (3) 2.1Cadence简介 (3) 2.2L-edit简介 (3) 3.二输入多路选择器电路设计及仿真 (4) 3.1数据选择器原理 (4) 3.2电路原理图的绘制 (5) 3.3电路图仿真 (6) 4.集成电路版图设计 (7) 4.1CMOS数字电路基本单元版图设计 (7) 4.1.1反相器版图设计 (7) 4.1.2与非门版图设计 (8) 4.2整体版图设计 (9) 4.3设计规则的验证及结果 (9) 5.总结 (10) 参考文献 (11)

交流稳压电源

一.稳压器的分类 按调压方式不同分类可分为三类 电子感应式油式稳压器 干式接触式调压稳压器(直接调压稳压器和补偿式调压稳压器) 干式无触点调压式稳压器(一般是带补偿的稳压器) 二.稳压器的分类: 按电源使用环境不同分类可分为两类 单相交流稳压器 三相交流稳压器 三.以干式接触式调压稳压器为例分析稳压器工作原理: 单相交流稳压器原理分析 1.单相SVC直接调压稳压器原理分析 图二 A点为单相稳压器输入侧,B点为单相稳压器的输出侧. 其实这一类用调压器直接调压式的稳压器就是利用自耦变压器的原理做成的.图中AN侧就是自耦变压器的输入侧,BN侧就是自耦变压器的输出侧,如果输入电压高于输出设置点220V时,这个自耦变压器就工作在降压状态,如果输入电压低于220V时,这个自耦变压器就工作在升压状态.(图中所示就是处在降压状态) 这种稳压器不同于自耦变压器的主要是输入点A是可以由0V到250V之间任意滑动.这样就可以随时调整输入电压的输入点来满足输出电压的恒定.一般我们把输入侧A点叫做滑臂,它由电机通过减速装置来驱动,电机的转向由稳压控制电路来控制完成. 稳压器的取样电路时刻监视稳压器的输出两点间电压,输出电压升高时,控制电机朝自耦变压器降压的方向移动,(如图二)当输出电压达到所要的电压时,停止控制电机运动.反之控制电路则控制电机朝自耦变压器升压的方向转动.(图三)达到所要的电压时停止.

图二 图三 此类稳压器的容量大小全部由这个输出电压可以变压器的自耦变压器来承担,但由于它制造工艺的影响,它不能做得很大,只能适应小功率的场合.要相把稳压器的功率做得更大,就要加入补偿变压器来实现稳压器的功率扩大 2.单相补偿式稳压器原理分析(图四)

EDA技术与应用的二选一选择器

EDA 技术与应用的二选一选择器 学院名称: 东方学院 专 业: 电子信息工程 班 级: 学 号: 姓 名: 指导教师姓名: 指导教师职称: 2007年 4 月24日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 本科课程设计(论文)

二选一选择器 一.设计目的 1.学习VHDL编程; 2.进一步熟悉实验箱电路; 二.设计指标及功能要求 设计指标: (1)对所设计的小系统功能正确分析; (2)基于VHDL语言描述系统的功能; (3)在QUARTUSⅡ环境中编译通过; (4)仿真通过,并得到正确的波形; (5)给出相应设计报告; 功能要求:1.用VHDL语言设计可控加减计数器; 2.至少两层电路,底层有三种元件; 3.使得其执行可控加,减记数; 三.实验步骤 1.建立Light目录,用于存放本实验所建立的文本 2.点击“File New”,在出现的对话框中,选择“VHDL File”进入文本编辑器。 3.输入VHDL语言源文件。 4.点“Save as”,保存该源文件。 5.进行编译,点“start compilation”,若语句有错会有提示,修改后重新编译直到无错误。

6.点“File New”,选择“Vector Waveform File”,建立仿真输入文件. 7.点“End time”,输入终止时间(表示波形长度).点“light”将所有信号选中或部分选中。点“start simulation”.运行波形,直至正确。 四、电路工作原理 首先,用异或门控制输入端,加一个脉冲信号。在其后方分别加上加法计数器和减法计数器:来一个脉冲,当异或门输出为0时,减法计数器开始工作,当输出为1时,加法计数器工作。这样,利用给异或门加不同的信号来控制加减计数器。 五.各子模块设计与调试过程 library ieee; use ieee.std_logic_1164.all; entity ora is port(a:in std_logic; b:out std_logic); end entity; architecture one of ora is begin b<=not a; end architecture;

电刷式交流稳压器工作原理

电刷式交流稳压器工作原理 一.稳压器的分类 按调压方式不同分类可分为三类 电子感应式油式稳压器 干式接触式调压稳压器(直接调压稳压器和补偿式调压稳压器) 干式无触点调压式稳压器(一般是带补偿的稳压器) 二.稳压器的分类: 按电源使用环境不同分类可分为两类 单相交流稳压器 三相交流稳压器 三.以干式接触式调压稳压器为例分析稳压器工作原理: 单相交流稳压器原理分析 1.单相SVC直接调压稳压器原理分析 图二

A点为单相稳压器输入侧,B点为单相稳压器的输出侧. 其实这一类用调压器直接调压式的稳压器就是利用自耦变压器的原理做成的.图中AN 侧就是自耦变压器的输入侧,BN侧就是自耦变压器的输出侧,如果输入电压高于输出设置点220V时,这个自耦变压器就工作在降压状态,如果输入电压低于220V时,这个自耦变压器就工作在升压状态.(图中所示就是处在降压状态) 这种稳压器不同于自耦变压器的主要是输入点A是可以由0V到250V之间任意滑动.这样就可以随时调整输入电压的输入点来满足输出电压的恒定.一般我们把输入侧A点叫做滑臂,它由电机通过减速装置来驱动,电机的转向由稳压控制电路来控制完成. 稳压器的取样电路时刻监视稳压器的输出两点间电压,输出电压升高时,控制电机朝自耦变压器降压的方向移动,(如图二)当输出电压达到所要的电压时,停止控制电机运动.反之控制电路则控制电机朝自耦变压器升压的方向转动.(图三)达到所要的电压时停止.

图二 图三 此类稳压器的容量大小全部由这个输出电压可以变压器的自耦变压器来承担,但由于它制造工艺的影响,它不能做得很大,只能适应小功率的场合.要相把稳压器的功率做得更大,就要加入补偿变压器来实现稳压器的功率扩大 2.单相补偿式稳压器原理分析(图四)

220V交流稳压电源

产品介绍 SVC-系列高性能全自动交流稳定电源,由接触式自耦调压器、伺服电动机、自动控制 电路等部件组成,是采用伺服电机驱动碳刷改变调压器线圈匝数比来完成稳压功能的一种普及型交流稳压电源。当电网电压不稳定或负载功率变化时,自动控制电路按输出电压的变化驱动伺服电机,调整接触式自耦调压器上碳刷的位置,使输出电压调整到额定值,实现自动稳压。 本系列电源具有稳压范围宽、精度高、输出波形不失真、效率高等优点,能适应各种负载。 该系列产品被广泛地应用于计算机及周边装置、医疗电子仪器、通讯广播设备、空调、工业生产线等电器设备的稳压同时大量应用于家用电器产品的稳压和保护。 产品特点 1、SVC系列单相交流稳压电源0.5KVA-2KVA若选择输出电压为110V,则输出容量不能超过额定容量的40%,当输出端110V和220V同时工作时,输出总量应在额定容量的50%以内,以免过载; 2、SVC-5KVA以上规格(不含5K)采用补偿式结构,补偿式结构的特点是:向负载提供的电流不通过电刷,而是通过补偿变压器提供,适宜大功率负载使用; 3、输出容量与输入电压的关系见下图,使用时应注意,不能过载使用; 4、TNS系列三相电源是SVC系列单相电源的组合,电网输入为三相四线制,星形(Y形)接法。输出亦为三相四线制,由一只电压表通过转换开关指示各项输出电压; 5、三相TNS—20kVA以上规格采用补偿式结构。

SVC系列单相高精度全自动交流稳压器 概述 SVC系列单相高精度全自动交流稳压器是由接触自耦调压器、伺服电动机、自动控制电路等组成。当电网电压不稳或负载变化时自动采样,控制电路发出信号驱动伺服电机,调整自耦调压器碳刷的位置,使输出电压调整到额定值并达到稳定状态。本仪器体积小、重量轻、输出波形失真小、性能可靠、可长期运行等特点,设有过压保护功能,根据用户需要,可设欠压、延时等保护功能。可广泛用于任何用电场所,是一种理想的稳压电源。 适用范围 计算机,测试设备,照明系统,通讯系统,医疗设备,工业自动化设备,音响设备,复印机,空调等。

交流稳压器原理

交流稳压器工作原理 一.稳压器的分类 按调压方式不同分类可分为三类 电子感应式油式稳压器 干式接触式调压稳压器(直接调压稳压器和补偿式调压稳压器) 干式无触点调压式稳压器(一般是带补偿的稳压器) 二.稳压器的分类: 按电源使用环境不同分类可分为两类 单相交流稳压器 三相交流稳压器 三.以干式接触式调压稳压器为例分析稳压器工作原理: 单相交流稳压器原理分析 1.单相SVC直接调压稳压器原理分析

A点为单相稳压器输入侧,B点为单相稳压器的输出侧. 其实这一类用调压器直接调压式的稳压器就是利用自耦变压器的原理做成的.图中AN侧就是自耦变压器的输入侧,BN侧就是自耦变压器的输出侧,如果输入电压高于输出设置点220V时,这个自耦变压器就工作在降压状态,如果输入电压低于220V时,这个自耦变压器就工作在升压状态.(图中所示就是处在降压状态) 这种稳压器不同于自耦变压器的主要是输入点A是可以由0V到250V之间任意滑动.这样就可以随时调整输入电压的输入点来满足输出电压的恒定.一般我们把输入侧A点叫做滑臂,它由电机通过减速装置来驱动,电机的转向由稳压控制电路来控制完成. 稳压器的取样电路时刻监视稳压器的输出两点间电压,输出电压升高时,控制电机朝自耦变压器降压的方向移动,(如图二)当输出电压达到所要的电压时,停止控制电机运动.反之控制电路则控制电机朝自耦变压器升压的方向转动.(图三)达到所要的电压时停止.

此类稳压器的容量大小全部由这个输出电压可以变压器的自耦变压器来承担,但由于它制造工艺的影响,它不能做得很大,只能适应小功率的场合.要相把稳压器的功率做得更大,就要加入补偿变压器来实现稳压器的功率扩大 2.单相补偿式稳压器原理分析(图四)

过零触发交流稳压电源电路

目录 1 晶闸管过零触发电路 (1) 1.1过零触发电路基本原理 (1) 1.2过零触发电路的结构形式 (3) 2 交流稳压电源电路 (5) 2.1交流稳压电源的介绍 (5) 2.2交流稳压电源的基本结构 (5) 3 过零触发交流稳压电源电路总体设计 (7) 3.1原理分析 (7) 3.2电气原理图 (8) 结论 (9) 心得体会 (10) 参考文献 (11)

1 晶闸管过零触发电路 1.1过零触发电路基本原理 过零触发电路工作原理示意图如图1所示。通过改变t1的导通时间和t2的关断时间来改变可控硅的通断时间比η,使信号整周波导通与整周波关断。 控制电路把负载与电源u = U ?t 0 2 sinω在周期c T 时间内接通1 t 秒(通n 个周波),然后再断开2 t 秒(断m 个周波),则负载阻抗Z上的交流电压有效值为: 图1中:TC为控制信号的周期,t1为导通时间,t2的关断时间。

其中U 、M I 、M P 分别为可控硅连续导通时负载获得的最大电压、电流和功率。在本系统 中我们是通过改变η来进行调压,从而改变电镦机中的加热电流。 在电压过零时给晶闸管以触发脉冲,使晶闸管工作状态始终处于全导通或全阻断,这种工作方式称为过零触发。交流过零触发开关电路就是利用过零触发方式来控制晶闸管导通与关断。它被用来实现在设定的周期范围内,将电路接通几个周波,然后断开几个周波,通过改变晶闸管在设定周期内通断时间的比例,达到调节负载两端交流电压,即负载功率的目的。 既能实现调压,又能保持输出正弦波波形的完整,这是过零触发电路的最初思路。实现方法: ①触发脉冲总是在电网过零点附近送出,使晶闸管在电网过零后即行输出,在整个电网周波内“完全开通”,电路输出为完整的正弦波形。 ②用门限控制信号来控制晶闸管的导通时间,即控制流过晶闸管周波数的多少,当使控制信号高、低电平时间比T1:T2=1:1时,晶闸管一半时间处于关断,一半时间处于开通,电源中的完整周波有一半为晶闸管所输出,输出电压的有效值也为电源电压的一半。 ③过零电路的触发脉冲,是由同步脉冲,不经移相,即直接触发晶闸管的,但取得的同步脉冲往往较“窄”,需要展宽处理,才能可靠触发晶闸管。 过零触发电路,晶闸管输出波形为完整的正弦波,晶闸管从过零点开始导通,然后在过零点自生关断,晶闸管承受的电流、电压冲击较小,输出电压的谐波分量少,不污染电网和造成干扰,这是其优点。这种控制方式可称之为“通、断控制”,输出为全压→输出电压为0→输出为全压→输出电压为0→……,输出电压(电流)的连续性很差,电源的通断频率,取决于门限控制信号的变化,因而适用范围更窄,仅适用于阻性负载,如电阻加热恒温控制等,不宜用于控制电力拖动系统。

三端稳压电路图集分析

三端稳压电路图集(六祖故乡人汇编2013年9月8日) LM317可调稳压电源电路图: LM317是可调稳压电源中觉的一种稳压器件,使用也非常方便。LM317 是美国国家半导体公司的三端可调正稳压器集成电路。很早以前我国和世界各大集成电路生产商就有同类产品可供选用,是使用极为广泛的一类串连集成稳压器。LM317 的输出电压范围是1.25V —37V(本套件设计输出电压范围是 1.25V—12V),负载电流最大为 1.5A。它的使用非常简单,仅需两个外接电阻来设置输出电压。此外它的线性率和负载率也比标准的固定稳压器好。LM317 内置有过载保护、安全区保护等多种保护电路。 为保证稳压器的输出性能,R应小于240欧姆。改变RP阻值稳压电压值。D5,D6用于保护LM317。 输出电压计算公式:Uo=(1+RP/R)*1.25 下面是LM317可调稳压电源电路图的元器件清单: 下面是LM317可调稳压电源电路图:

三端集成稳压可调电源电路设计: 如图所示,此电路的核心器件是W7805。W7805将调整器,取样放大器等环节集于一体,内部包含限流电路、过热保护电路、可以防止过载。具有较高的稳定度和可靠性。W7805属串联型集成稳压器。其输出电压是固定不变的,这种固定电压输出,极大的限制了它的应用范围。如果将W7805的公共端即3脚与地断开,通过一只电位器接到-5V左右的电源上,就可以在改变电位器阻值的同时,使集成稳压器的取样电压及输出电压都随之改变。图中RP1就是为此而设计的。只要负电压的大小取得合适便能使输出电压从0V起连续可调,输出电压的最大值由W7805的输入电压决定,本稳压器0V-12V可调。VD3整流,C2滤波,VD4稳压后提供5V负电压。 元件选择:变压器应选用5V A,输出为双14V;二极管VD1-VD4选用1N4001;VDW 选用稳压值为5-6V的2CW型稳压管;RP1用普通电位器;RP2为微调电阻。IC用7805;其它元件参数图中已注明,无特殊要求。 电路调试:元件焊接无误后可通电调试,首先测b点对地电压,空载时应在18V左右;d点电压大约为-5.5V--6V,如不正常,可重点检查VD3,C2,R1,VDW,RP2等元件,然后再测量输出电压,旋动RP1,万用表指针应能在较大范围变动,说明稳压器工作正常;最后

家用交流稳压器的原理与维修电路图

家用交流稳压器的原理与维修电路图 工作原理 大地牌TJ30型3kW交流稳压器的电气原理图见附图。整机可分主回路和控制电路两部分,Vi和Vo分别是输入与输出电压表。主回路是交流电源从输入端通往输出端的路径,包括空气开关K1、稳压与直通选择开关K2、调压变压器T、延时控制继电器J3和输入、输出接线端子等元器件。控制电路的功能有开机延时送电、稳定输出电压、过压保护及指示、欠压保护及指示等。 1.取样电压与基准电压。调压变压器T有两个二次绕组,其中一组9V经DQ1桥式整流后,再经电阻R2和R3分压,取R3上的分压值作为交流稳压器输出电压高低的取样电压。16V的绕组电压经DQ2桥式整流,三端稳压器LM7812稳压,输出稳定的DC12V电压向控制电路供电。发光管LED2点亮标志着DC12V电源工作正常。集成电路A1是四运放HA17324,在这里作四电压比较器使用。DC12V电压经电位器RP、电阻R4~R8分压,共取出四个分压值作为基准电压,分别送往四个电压比较器的相应输入端。电阻R3上的取样电压也同时送往电压比较器的输入端。取样电压和基准电压接入电压比较器输入端的规律是:检测交流稳压器输出电压是否高于额定值220V,其正输入端接取样电压,负输入端接基准电压,例如A1.1和A1.2;检测交流稳压器输出电压是否低于额定值220V,接法与上相反,例如A1.3和A1.4。认识这种规律对读懂许多品牌交流稳压器的电路原理图都有参考意义,但这种接入规律的前提是:检测结果为“是”时,电压比较器的输出端为高电平,这恰好是相关功能电路所需要的。 2.电压偏高需要降压。大地牌交流稳压器的输出稳压精度设定为±4%,当输出电压刚好等于220V时,调整电位器RP使电压比较器A1.2的反相输入端{6}脚所接的基

交流伺服式稳压器原理与分析

交流伺服式稳压器原理与分析 交流伺服式稳压器电路 电路工作原理:由图可知,它由电压检测比较器和自动调压电路两部分组成。图中调压变压器T的电压调节范围为160~260V,每一挡的调节范围为5V,因此共设置了21个电压抽头。电路工作时根据电压检测电路比较后的结果驱动电动机相应工作,并带动滑臂P作相应转动。通过滑臂P与相应的抽头接触而改变调压变压器T 的匝数比,使输出端电压趋于稳定。 图中运算放大器A1、A2连接方式相同,均将其反相输人端引人参考电压,同相输人端从R5的调节臂引人采样电压,运算放大器A1、A2的输出结果分别由晶体管V1、V2放大后,驱动电动机相应工作。运算放大器A3用来检测输入电压是否超限,同时还具有延时功能,其工作时由继电器切换控制,以保证电器的安全使用。 变压器T的低压绕组经VD1~VD4、C1、C2整流滤波后输出±12V直流电压,向运算放大器集成块提供工作电源。运算放大器A、B的采样电压可由R5适当调节。在市电电压正常时,运算放大器A、B均无输出,V1、V2截止,电动机不转。同时运算放大器A3也无输出,使V3截止,继电器保持动断状态,调压器“OUT”端输出稳定电压。当市电电压升高时,低压绕组上的电压也相应升高,运算放大器A1、A2输出高电平,使V1导通,V2截止,电动机顺时针旋转,并带动滑臂P向顺时针方向转动,使输出电压降低,从而在“OUT”端得到稳定电压。当市电电压下降时,电路工作过程与市电上升时工作过程相反。

元器件选择:调压变压器T可用一般的大功率变压器改制,计算出匝/伏比等有关数据后,绕制时每5V处取出一抽头并做好标记,最后在绕组外包绕上双9V绕组。变压器T功率应不小于300V·A。运纂放大器IC采用四运算放大器LM324,电动机为直流12V小电动机,继电器为直流12V小型继电器。

5种常用交流稳压器的基本结构、优缺点及应用比较

5种常用交流稳压器的基本结构、优缺点及应用比较 一、全自动补偿式交流稳压器 构造原理:采用电机带动碳刷在自耦变压器绕组匝间滑动而直接调节输出电压或通过补偿变压器调节输出电压. 优点:带负载能力强、波形失真小、工作效率高、稳压器自身抗干扰能力强. 缺点:响应时间慢(≥1S)、有机械磨损、需定期维护、在稳压过程中交流接触器及电机产生较大的噪声. 应用范围:工业、农业、交通、邮电、军事、铁路、科研文化等领域的大型机电设备、金属加工设备、生产流水线、建租工程设备、电梯、医疗器械、刺绣轻纺设备、空调、广播电视及家用电顺、照明等需要稳压的场所。 二、交流净化稳压器 构造原理:采用正弦波能量分配与滤波器相结合的方法,通过调节初级回路可控硅的导通角来调节输出电压. 优点:稳压精度高≤±1%,响应时间快≤40ms,有尖锋脉冲滤波作用。 缺点:输出与输入电压之间有移相、有少许波形失真(附加≤3.5%)带某些特殊负载(如可控硅负载)不理想. 应用范围:精密电子设备、医疗设备、计算机房、实验室、产品老化

及测试。 三、无触点交流稳压器 构造原理:通过电压或电流过零时切换一组或多组可控硅而使而使补偿变压器组中的某一个或多个变压器的补偿绕组升压,降压,初级短路,或切换自藕变压器的抽头来调节输出电压. 优点:带负载能力强、波形失真小、工作效率高、响应时间快、噪音低。 缺点:稳压精度不高(中诺电气公司产品例外) 应用范围:一切需要稳压的场所。 四、磁放大式交流稳压器基本构造原理:通过改变串联在自藕变压器的初级回路的磁放大变压器的磁阻来稳定输出电压. 优点:稳压精度高、响应时间快 缺点:体积大、重量重、价格高. 应用范围:精密电子设备、医疗设备、计算机房、实验室、产品老化及测试。 五、参数稳压器基本构造原理:利用铁芯材料饱和特性来突现输出电压基本稳定. 优点:工作可靠、过载能力强、输出短路时能自动保护、结构简单、稳压范围大.抗干扰能力强. 缺点:重量大、体积大、价格高、噪音大、铁芯温升高、对输入电源工作频率要求高. 应用范围:电脑相关产品、医疗监控系统、程序控制系统、自动测试

200KVA交流稳压电源稳压器SBW

DBW系列单相大功率全自动补偿式稳定器 概述 DBW系列大功率全自动补偿式稳定器是我公司在参照国际同类产品,结合我国国情的基础上研制生产的新一代节能型电力稳压器,设有过压、欠压、延时、故障保护功能,具有容量大,效率高,稳压范围宽、精度高、保护功能性强、无波形畸变、体积小、重量轻、运行可靠、维护简便等优点。 适用范围 邮电、商场、电梯、医院、印刷、证券等需要电压正常的场合及大、中型工矿企业车间,部分供电及重要设备和单机配套。 技术指标 输入电压单相220V±20%或220V±30%三相四线制相电压220V±20%线电压3800V±20%或 相电压220V±30%线电压380V±30%(订货时需说明) 输出电压单相220V;三相相电压220V;线电压380V 输出精度2-5%(可调)频率:50HZ/60HZ 效率≥98%(功率等级50KVA以上) 环境温度-10℃-+40℃

绝缘电阻≥2MΩ 电气强度工频正弦电压2000V历时1分钟无击穿及闪络现象过载能力二倍的额定电流,维持一分钟 波形失真无附加波形失真 保护功能具有过压,过流,缺相,相序保护(单相无缺相及相序保护)及机械故障保护 响应速度≤1.5S(当外界电压变化10%) SBW系列大功率全自动补偿式稳定器 概述 SBW系列大功率全自动补偿式稳定器是我公司在参照国际同类产品,结合我国国情的基础上研制生产的新一代节能型电力稳压器,设有过压、欠压、延时、故障保护功能,具有容量大,效率高,稳压范围宽、精度高、保护功能性强、无波形畸变、体积小、重量轻、运行可靠、维护简便等优点。 适用范围 邮电、商场、电梯、医院、印刷、证券等需要电压正常的场合及大、中型工矿企业车间,部分供电及重要设备和单机配套。 技术指标 输入电压单相220V±20%或220V±30%三相四线制相电压220V±20%线电压3800V±20%或 相电压220V±30%线电压380V±30%(订货时需说明) 输出电压单相220V;三相相电压220V;线电压380V 输出精度2-5%(可调)频率:50HZ/60HZ 效率≥98%(功率等级50KVA以上) 环境温度-10℃-+40℃ 绝缘电阻≥2MΩ 电气强度工频正弦电压2000V历时1分钟无击穿及闪络现象过载能力二倍的额定电流,维持一分钟

过零触发交流稳压电源电路

课程设计名称:电力电子课程设计题目:过零触发交流稳压电源电路 院系: 学生班级: 学号: 学生姓名: 指导教师:

目录 引言-----------------------------------------------------------2 1 晶闸管过零触发电路-----------------------------------------.3 1.1 移相触发电路和过零触发电路的比较--------------------------------------3 1.2 过零触发电路的结构形式------------------------------------------------4 2 交流稳压电源电路--------------------------------------------5 2.1 交流稳压电源的介绍----------------------------------------------------5 2.2 交流稳压电源的基本结构------------------------------------------------6 3 过零触发交流稳压电源电路总体设计----------------------------7 3.1 原理分析--------------------------------------------------------------7 3.2 电气原理图------------------------------------------------------------8 4 结论-------------------------------------------------------9 参考文献------------------------------------------------------10

1实验一 2选1多路选择器

东莞理工学院实验报告 专业班级:电子信息工程技术1班姓名:陈瀚瑜学号:200831307124 指导教师: 卢贵主地点:8B 日期: xxxxx 实验一2选1多路选择器 1、实验目的: 熟悉了解软件Quartus II的界面及其操作,以一个简单的程序初步地跑一遍Quartus II的程序设计流程。通过这个典型的组合电路模块,给出相关的语法规则的说明由此进入对VHDL的深入了解的历程。要让自己能掌握在Quartus环境下新建程序文件、新建工程并编写程序、进行综合以及时序仿真功能。 2、实验设备: 一台装有Quartus II 9.0软件的计算机一台。 3、设计原理: 此实验是一个2选1的多路选择器,既然是两个选一个,当然有两个输入端a,b数据通道,然后有一个输入通道是选择控制信号s,一个输出通道y。当s的取值分别为0或1时,输出端y就分别输出来自输入口a或b。 4、实验内容: 1、打开Quartus II 9.0软件,新建VHDL FILE,保存跟实体一样的名称mux21a。 2、在FILE下按New Project Wizard,找到…\mux21a选中将加入工程,然后选择目标芯片 ACEX1K、分装为TQFP、管口144、速度级别3,然后选择下面的EP1130TC144。 3、根据原理设计代码,如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux21a is PORT(a,s,b:IN STD_LOGIC; y:OUT STD_LOGIC); END ENTITY mux21a; ARCHITECTURE BHV OF mux21a IS SIGNAL d:STD_LOGIC; SIGNAL e:STD_LOGIC; BEGIN d<=a AND (NOT s); e<=b AND s; y<=d OR e; END ARCHITECTURE BHV; 4、编译processing-start compilation.

SBW无触点交流稳压器的原理与操作

SBW-W无触点交流稳压器的原理与操作 一、工作原理 图11.7.1为SBW-W微机控制无触点交流稳压器原理框图 图11.7.1 SBW-W微机控制无触点交流稳压器原理框图当电网电压Ui波动或负载变化时,则 输出电压随之变化,经电压采样输入单片微 机与额定值比较,并由微机程序软件进行判 断处理,输出控制指令,在过零同步脉冲作 用下,使相应的可控硅导通,切换对应变压 器抽头,调整电压UB的值,从而快速的达 到稳定输出电压U0的目的。 二、人机界面及操作 人机界面分显示区域、参数设置区、稳 压器控制区和运行状态指示区四个区域;能 显示各相电压、电流、有功功率、无功功率、 视在功率、功率因素、相角、频率及电能数 据,过压、欠压、缺相、逆相、过流等故障 信息;可以控制稳压器的运行、停止,对稳 压器的运行状况进行控制,还可以方便的设 定系统参数。 (一)显示界面 在缺省状态下,屏幕将显示当前的稳定

电压,通过“”键选择需要显示的电压值, Uab、Ubc、Uca分别表示输出AB、BC和CA相线电压。在缺省界面下,按“”(确定)键,可进入系统主菜单界面。系统主菜单中,共有遥测数据、手动调压、用户设置、出厂设置、系统信息、故障记录、和厂家信息等七个目录,通过“”键转移至所需要的目录,按“”(确定)键,可浏览该目录下的详细信息。在系统主菜单界面下,按“”(退出)键,将会回到缺省界面中。 (二)遥测数据 遥测数据”界面,按“”(确定)键,可进入电压电流、各相功率、功率因素、各相相角、各相频率、电能数据界面、可通过“”键进行选择。“电压电流”界面可以显示当前的输入电压、输出电压,输出电流,可通过“”键进行选择查看。其中, UAB、UBC、UCA分别表示输入AB、BC、CA相线电压;Uab、Ubc、Uca分别表示输出AB、BC、CA相线电压; Ua、Ub、Uc 分别表示输出A、B、C相电压;Ia、Ib、Ic分别表示输出A、B、C相电流。“各相功率”界面可以显示当前的A、B、C相及总有功功率、A、B、C相及总无功功率,A、B、C相及总视在功率,可通过“”键进行选择查看。 “功率因素”界面可以显示当前的A、 B、C相及总功率因素,可通过“” 键进行选择查看。 “各相相角”界面可以显示当前的输出 A、B、C相相角。可通过“”键进 行选择查看。 “各相功率”界面可以显示当前的A、 B、C相及总有功功率、A、B、C相及总无功 功率,A、B、C相及总视在功率,可通过 “”键进行选择查看。 “功率因素”界面可以显示当前的A、B、C相及总功率因素,可通过“”键进行选择查看各相功率因素。 “各相频率”界面可以显示当前的输出A、B、C相频率。通过“”键进行选择查看。

2选1数据选择器的VHDL描述

2选1数据选择器的VHDL描述 ENTITY mux21a IS PORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ; END ENTITY mux21a ; ARCHITECTURE one OF mux21a IS BEGIN y <= a WHEN s = '0' ELSE b ; END ARCHITECTURE one ; 译码器的设计 architecture dec_behave of e1 is signal sel : std_logic_vector( 0 to 3) ; begin sel(0) <= en ; sel(1) <= a(0) ; sel(2) <= a(1) ; sel(3) <= a(2) ; with sel select y <= "00000001" when "1000", "00000010" when "1001", "00000100" when "1010", "00001000" when "1011", "00010000" when "1100", "00100000" when "1101", "01000000" when "1110", "10000000" when "1111", "00000000" when others ; end dec_behave ; 8-3优先编码器 library IEEE; ……; entity encoder83 is port (ind: in std_logic_vector(7 downto 0);

outd: out std_logic_vector(2 downto 0)); end ; architecture behave of encoder83 is begin process (ind) begin if ind (7) = ‘1' then outd<= "111"; elsif ind (6) = ‘1' then outd<= "110"; elsif ind (5) = ‘1' then outd<= "101"; elsif ind (4) = ‘1' then outd<= "100"; elsif ind (3 )= ‘1' then outd<= "011"; elsif ind (2) = ‘1' then outd<= "010"; elsif ind (1) = ‘1' then outd<= "001"; elsif ind (0) = ‘1' then outd<= "000"; else outd<= "000"; end if; end process; end behave;

交流伺服式稳压器电路工作原理及性能

交流伺服式稳压器电路工作原理及性能 引言 市场上家用稳压器、保护器种类繁多,但大多数是靠继电器改变变压器的抽头来调压。这种稳压器的缺点是进入稳压器的市电变化时,会造成瞬间断电现象,给正在工作的家电造成浪涌电流的:中击。而交流伺服式稳压器能很好地克服上述稳压器的缺点。 1电路结构 电路采用2组微功耗控制单元和1组整流稳压电源组成一组用于反映电源电压升高控制;另一组用于反映电源电压降低控制。j1用于控制电机的正转,提升调压器输出电压;j2用于控制电机反转,降低调压器输出电压。同时j1串入正转回路中,防止反转时不会发生正转现象;j2,串入反转回路中,防止正转时不会发生反转现象。在2组控制中串入2个发光二极管。可以直观的观察电机的运行情况(正转时红灯亮,反转时绿灯亮,电压正常时均不亮)。采用一组较好的整流和稳压电路,以保证电路的工作稳定性。2个红、绿二极管用于指示控制电源。 2电路工作原理 投运前,要先设定2组控制电路的动作预值。过压控制电路的动作值为230v,调压器输出电压u2,随电源电压u,变化到230v时j1吸合;欠压控制电路的动作值为210v2调压器输出电压u2,随电源电压u1,变化到210v时j2吸合。这样就保证了调压器输出电压u2,在210-230v时,j1、j2均不动作,电机既不正转也不反转。 (1)当u2,随u1,变化升高到230v时,加到过压控制电路上的电压也随之上升,此时过压调节环节回路执行,g01动作,红灯亮,j1吸合,其常开接点j1闭合,接通电机反转电路,带动调压器碳刷反转滑动,以减小输出电压u2,(此时常闭接点1,断开,电机不会发生正转)。 (2)当u2、随u1,变化降低到210v时,加到欠压控制电路上的电压也随之降低,此时欠压调节环节回路执行,g02动作,红灯亮,j2吸合,其常开接点j2闭合,接通电机正转电路,带动调压器碳刷正转滑动,以增加输出电压u2、(此时常闭接点j2断开,电机不会发生反转)。 (3)当u2,随u1,在210-230v之间变化时,g01、g02均不动作,红、绿灯均不亮,电机停转,这样就基本上保证了调压器输出u2、稳压在210-230v。 (4)为防止电源电压过低时,电机持续正转,故在j2常闭接点回路串接一微动限位开关,把此开关安装在碳刷极限位置,当电机带动碳刷转到极限位置时,加装到调压器转轴上的上档顶开微动开关强制电机停转。 3调试电路 借助1台自耦调压器分2步进行调试:(1)控制电路装在1个控制箱中;(2)电机及外接线;(3)控制电路接在调压器输出端,调节调压器,使其输出为230v,再调rwl使j1吸合; (4)调节调压器,使其输出为210v,再调rw2使j2吸合;5)调节调压器,使其输出为220v。4结束语 调试结果表明,此种交流伺服式稳压器电路简单,性能优越,工作状况良好。

相关文档
最新文档