实验三、AD层次原理图设计

实验三、AD层次原理图设计
实验三、AD层次原理图设计

实验三、AD层次原理图设计

【实验目的】

进一步掌握原理图设计的技巧,熟练原理图绘制过程

掌握AD层次原理图的基本设计方法。

掌握自顶向下的层次设计思想,学习由母图生成子图的方法

掌握子图、母图的相互切换

【实验要求】

上机操作,根据题目要求保存文件。下课时自己设法将文件带走保存好。

在实验报告本写上实验日期、周次和本次实验的标题、实验目的、实验内容和实验总结。

实验内容只抄题目,不需要抄操作提示,也不需要写操作步骤。如果某个题目的操作步骤是你以前没接触过的,也可在实验报告上顺便记录一下。

遇到不会的题目,通过与同学或老师讨论、参阅参考书、上网检索等方式解决。【实验内容】

1.进入ftp://10.81.40.222/张利民/电子线路CAD/PDF READER/, 下载安装PDF阅读器。

2.进入实验三,打开实验三-声控变频器.pdf,按照要求绘制原理图

3.本实验需新建项目,项目存储在EXM-3-学号文件夹,本项练习要求将原理图加入所

建立的项目中,做ERC测试,输出BOM表,输出网络表

4.ERC测试后,如出现错误提示,请修改并记录错误信息并修改,直至测试通过为止

5.层次图说明:

a)母图:声控变频器.SchDoc

b)子图一:Power Sheet.SchDoc

c)子图二:FC Sheet.SchDoc

6.相关提示:

a)所需库名称:

i.Miscellaneous Devices.IntLib

ii.Miscellaneous Connector.IntLib

iii.ST Analog Timer CircuitaIntLib

iv.ST Power Mgt V oltage RegulatoraIntLib

b)器件库搜索提示:

i.LF365N 搜索时使用OP AMP 关键字

【实验总结】

请写下你在完成上述题目时的主要收获和遇到的主要困难。写下ERC测试遇到的问题和解决方法

电路原理图设计说明

电路原理图设计 原理图设计是电路设计的基础,只有在设计好原理图的基础上才可以进行印刷电路板的设计和电路仿真等。本章详细介绍了如何设计电路原理图、编辑修改原理图。通过本章 的学习,掌握原理图设计的过程和技巧。 3.1 电路原理图设计流程 原理图的设计流程如图3-1 所示 . 。 图3-1 原理图设计流程 原理图具体设计步骤: (1 )新建原理图文件。在进人SCH 设计系统之前,首先要构思好原理图,即必须知道所设计的项目需要哪些电路来完成,然后用Protel DXP 来画出电路原理图。

(2 )设置工作环境。根据实际电路的复杂程度来设置图纸的大小。在电路设计的整个过程中,图纸的大小都可以不断地调整,设置合适的图纸大小是完成原理图设计的第一步。 (3 )放置元件。从元件库中选取元件,布置到图纸的合适位置,并对元件的名称、封装进行定义和设定,根据元件之间的走线等联系对元件在工作平面上的位置进行调整和修改使得原理图美观而且易懂。 (4 )原理图的布线。根据实际电路的需要,利用SCH 提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一幅完整的电路原理图。 (5 )建立网络表。完成上面的步骤以后,可以看到一张完整的电路原理图了,但是要完成电路板的设计,就需要生成一个网络表文件。网络表是电路板和电路原理图之间的重要纽带。 (6 )原理图的电气检查。当完成原理图布线后,需要设置项目选项来编译当前项目,利用Protel DXP 提供的错误检查报告修改原理图。 (7 )编译和调整。如果原理图已通过电气检查,那么原理图的设计就完成了。这是对于一般电路设计而言,尤其是较大的项目,通常需要对电路的多次修改才能够通过电气检查。 (8 )存盘和报表输出:Protel DXP 提供了利用各种报表工具生成的报表(如网络表、元件清单等),同时可以对设计好的原理图和各种报表进行存盘和输出打印,为印刷板电路的设计做好准备。 3.2 原理图的设计方法和步骤 为了更直观地说明电路原理图的设计方法和步骤,下面就以图3 -2 所示的简单555 定时器电路图为例,介绍电路原理图的设计方法和步骤。

Protel99SE层次原理图设计步骤

Protel99SE多张原理图的设计步骤 1. Protel实现一个系统多张原理图,电路模块化的使用方法。 “在Protel中如何实现多张图的统一编号”即多张原理图其实是一个电路板(为了模块化才在多个图中画的)。以前建的Protel工程不大,一张图基本就搞定了,也没尝试过多图的。研究了一下,也不是很难,作为总结写在这里。 以下是步骤:(前提是你已经在你的工程中画好了原理图) (1)、先建一张空白电路原理图,比如Global.sch,并打开该原理图。 (2)、在Global.sch窗口下选择Designed-> Create Symbol From Sheet, 然后在弹出的窗口中选择你的第一张图,这时会有另一个对话框出现 点击OK后,在Global.sch里鼠标会有变化,用鼠标在Globa l.sch 上画一个框就代表你的第一张原理图,其他原理图照此办理。 (3)、这样你可以在Global.sch中Annotate(Tool->Annotate)了。将Options标签下的Current Sheet Only 项的小勾去掉。然后再选择Ad vanced Options标签下需要编号的图纸文件名前打上小勾,点击OK,完成。 (4)、在Netlist Creation的时候注意在Sheets To Nitlist下选择“Ac tive Project”。

出处:https://www.360docs.net/doc/d511746.html,/pepsi360/blog/item/cc82cb07fcb64fc47b894 79b.html 2. Protel99SE多张原理图生成一张总网表的方法 (1). 新建一张原理图,点击"PlaceSheetSymbol"放置一个原理图符号,然后右击选其“proterties”,在filename 一栏输入你准备与之绑定的原理图文件名.确定ok。 依此类推...,直到把全部的原理图与每一个放置的原理图符号绑定完为止,最后保存。 (2). 打开全部的原理图(否则导入PCB时不能生成网络,只有元器件)。 (3). 打开"Design"菜单下的“Creat netlist..."选项,在"sheets to netlist "一栏选择“Active sheet plus sub sheets",然后点击ok,就可生成多张原理图的总网表。 (4). 在PCB图设计模式下导入总网表即可。 出处:https://www.360docs.net/doc/d511746.html,/s/blog_4cdc39f50100h4tm.html 3. [小窍门]如何把多张原理图整合起来 在实际工作中我们可能需要把多张原理图连接起来,在同一PCB文件上进行绘制,具体操作步骤如下: (1).首先要确保每张原理图都要放置互相连接的端口(即Port),相连的端口名称要一样。 (2).新建一个SCH文件或打开一个上面有足够空白空间的SCH文件。

简单电路图的设计过程

电路原理图的绘制方法与步骤 一.电路原理图绘制前的准备工作 1.设计电路原理图的草图 例如要画出图1所示的稳压电源的电路图,首先要画出电路图的草图。 2.电路图有关资料的整理、列表 为了方便快捷地画出电路原理图,首先必须将电路图中所有零件的名称、拟采用的编号、零件的类型以及元件封装进行整理,列出表格,如表1所示。 二、Protel 99 SE 的启动 在Windows 桌面上,将鼠标的指示箭头对准图2所示的Protel 99 SE 图标, 双击鼠标左键,启动Protel 99 SE 。 启动Protel 99 SE 后,屏幕会出现图3所示的界面。 图2 Protel 99 SE 图标 图1 稳压电源电路图

几秒钟后,Protel 99 SE 的启动界面消失,留下了Protel 99 SE 的初始操作界面,如图4所示: 三、进入电路原理图设计环境 1.启动电路原理图编辑器 (1)创建工程设计数据库FirstDesign.ddb : 启动Protel 99 SE 后,打开File 菜单,选择New 命令,则弹出的题目为New Design Database 的对话框,在Design Storage Type 栏内,选择设计数据库的格式为MS Access Database ;在Databass Location 框中指定设计数据库存放的位置为:C :\Design Explorer 99se\\Examples ;在Databass File Name 文本框中输入数据库的名称FirstDesign.ddb 。单击OK 按钮,完成设计数据库的创建。 标题栏 菜单栏 工具条 设计管理面板 设计工作区 图4 Protel 99 SE 的操作界面 图6 图2 Protel 99 SE 的启动界面

protel 99se绘制原理图的主要步骤

protel 99se绘制原理图的主要步骤 通常,硬件电路设计师在设计电路时,都需要遵循一定的步骤。要知道,严格按照步 骤进行工作是设计出完美电路的必要前提。对一般的电路设计而言,其过程主要分为 以下3步: 1.设计电路原理图 在设计电路之初,必须先确定整个电路的功能及电气连接图。用户可以使用Protel99 提供的所有工具绘制一张满意的原理图,为后面的几个工作步骤提供可靠的依据和保证。 2.生成网络表 要想将设计好的原理图转变成可以制作成电路板的PCB图,就必须通过网络表这一桥梁。在设计完原理图之后,通过原理图内给出的元件电气连接关系可以生成一个网络 表文件。用户在PCB设计系统下引用该网络表,就可以此为依据绘制电路板。 3.设计印刷电路板 在设计印刷电路板之前,需要先从网络表中获得电气连接以及封装形式,并通过这些 封装形式及网络表内记载的元件电气连接特性,将元件的管脚用信号线连接起来,然 后再使用手动或自动布线,完成PCB板的制作。 原理图的设计步骤: 一般来讲,进入SCH设计环境之后,需要经过以下几个步骤才算完成原理图的设计:1.设置好原理图所用的图纸大小。最好在设计之处就确定好要用多大的图纸。虽然在 设计过程中可以更改图纸的大小和属性,但养成良好的习惯会在将来的设计过程中受益。 2.制作元件库中没有的原理图符号。因为很多元件在Protel99中并没有收录,这时就 需要用户自己绘制这些元件的原理图符号,并最终将其应用于电路原理图的绘制过程 之中。 3.对电路图的元件进行构思。在放置元件之前,需要先大致地估计一下元件的位置和 分布,如果忽略了这一步,有时会给后面的工作造成意想不到的困难! 4.元件布局。这是绘制原理图最关键的一步。虽然在简单的电路图中,即使并没有太 在意元件布局,最终也可以成功地进行自动或手动布线,但是在设计较为复杂的电路 图时,元件布局的合理与否将直接影响原理图的绘制效率以及所绘制出的原理图外观。

电路原理图设计步骤

电路原理图设计步骤 1.新建一张图纸,进行系统参数和图纸参数设置; 2.调用所需的元件库; 3.放置元件,设置元件属性; 4.电气连线; 5.放置文字注释; 6.电气规则检查; 7.产生网络表及元件清单; 8.图纸输出. 模块子电路图设计步骤 1.创建主图。新建一张图纸,改名,文件名后缀为“prj”。 2.绘制主图。图中以子图符号表示子图内容,设置子图符号属性。 3.在主图上从子图符号生成子图图纸。每个子图符号对应一张子图图纸。 4.绘制子图。 5.子图也可以包含下一级子图。各级子图的文件名后缀均是“sch”。 6.设置各张图纸的图号。 元件符号设计步骤 1.新建一个元件库,改名,设置参数; 2.新建一个库元件,改名; 3.绘制元件外形轮廓; 4.放置管脚,编辑管脚属性; 5.添加同元件的其他部件; 6.也可以复制其他元件的符号,经编辑修改形成新的元件; 7.设置元件属性; 8.元件规则检查; 9.产生元件报告及库报告; 元件封装设计步骤 1.新建一个元件封装库,改名; 2.设置库编辑器的参数; 3.新建一个库元件,改名; 4.第一种方法,对相似元件的封装,可利用现有的元件封装,经修改编辑形成; 5.第二种方法,对形状规则的元件封装,可利用元件封装设计向导自动形成; 6.第三种方法,手工设计元件封装: ①根据实物测量或厂家资料确定外形尺寸; ②在丝印层绘制元件的外形轮廓; ③在导电层放置焊盘; ④指定元件封装的参考点 PCB布局原则 1.元件放置在PCB的元件面,尽量不放在焊接面; 2.元件分布均匀,间隔一致,排列整齐,不允许重叠,便于装拆; 3.属同一电路功能块的元件尽量放在一起;

层次原理图

层次原理图的设计 内容提示: 前面介绍了使用Protel 99 SE进行具体电路设计的方法,包括基本操作和高阶技巧,通过这些内容的学习读者应该已经具备良好的原理图设计的本领。但在实际设计中有时会遇到较大规模电路的设计,这时就不仅需要设计者能够实现电路功能的设计,而且还需要进行设计方法方面的考虑。 对于大规模电路的设计,往往不是单个设计者能在短期内完成的,为了适应长期设计的需要,或者为缩短周期组织多人共同设计的需要,Protel 99 SE提供了层次原理图的设计功能。这一功能就是通过合理的规划,将整个电路系统分解为若干个相对独立的功能子模块,然后分别对每个子模块进行具体的电路设计,这样就实现了设计任务的分解,可以在不同的时间完成不同模块的设计而相互之间有没有过多的干扰,也可以将各个模块的设计任务分配给不同的设计者同时进行设计,从而大大提高了大规模电路设计的效率。 本章中将对层次原理图设计的基本思想、具体的设计方法以及管理方法进行介绍。对层次原理图中涉及到的自上而下和自下而上的设计方法都有详细的讨论。 学习要点: 层次原理图设计的基本概念及其优点 如何绘制层次原理图 自上而下的设计方法 自下而上的设计方法 层次原理图的管理 层次电路图的设计思路是这样的: 将复杂系统按照功能要求分解为若干个子模块,如果需要,对于子模块还可以分解为更小的基本模块,各个模块之间设计好模块接口,上层原理图只负责根据功能需要对各个模块的接口进行合适的连接,而不关心电路细节,具体的电路设计在底层模块电路图中实现,底层模块的电路设计要能够满足接口要求,这样通过组合就能够得到完整并且符合功能要求的电路设计了。从设计思路中可以清楚地看到层次电路图的优点:电路结构清晰、便于任务分配。层次电路图的设计过程如图7.1所示。 7.1层次原理图的概念 (1) 在开始设计之前,要明确电路需要实现的功能以及总体要求,规划好电路的整体框架。 (2) 根据功能要求将电路分解为多个可单独实现的子模块,规定好每个模块之间的接口规范,实现设计任务的分解。 (3) 对各个子模块进行独立设计,设计结果要保证接口要求。 (4) 将各个子模块的设计整合为完整的电路,这时要充分考虑电路整体的要求,对各子模块进行必要的修改。

原理图元件库的设计步骤(精)

原理图元件库的设计步骤 一. 了解欲绘制的原理图元件的结构 1. 该单片机实际包含40只引脚,图中只出现了38只, 有两只引脚被隐藏,即电源VCC(Pin40和GND(Pin20。 2. 电气符号包含了引脚名和引脚编号两种基本信息。 3. 部分引脚包含引脚电气类型信息(第12脚、第13脚、第32至第39脚。 4. 除了第18脚和第19脚垂直放置,其余水平放置。由于VCC及GND隐藏,所以放置方式可以任意。 5. 一些引脚的名称带有上划线及斜线,应正确标识。

二. 新建集成元件库及电气符号库 1. 在D盘新建一个文件夹D:/student 2. 建立一个工程文件,选择File/New/Project/Integrated Library,如:Dong自制元件库.LibPkg 3. 新建一个电气符号库,选择File/New/Library/Schematic Library,如:Dong自制元件库.SchLib 4. 追加原理图元件 在左侧的SCH Library标签中,点击库元件列表框(第一个窗口下的Add(追加按钮,弹出New Component Name对话框,追加一个原理图元件,输入8051并确认,8051随即被添加到元件列表框中。 三. 绘制原理图元件 1. 绘制矩形元件体 矩形框的左上角定位在原点,则矩形框的右下脚应位于(130,-250。 注意:图纸设置中各Grids都设为10mil。 2. 放置引脚 (1P0.0~P0.7的放置及属性设置 单击实用工具面板的引脚放置工具图标,并按Tab键,系统弹出【引脚属性】对话框: 【Display Name显示名称】文本框中输入“P0.0”; 【Designator标识符】文本框中输入“39”;

硬件电路原理图设计审核思路和方法

硬件电路原理图设计审核思路和方法 1、详细理解设计需求,从需求中整理出电路功能模块和性能指标要 求; 2、根据功能和性能需求制定总体设计方案,对CPU进行选型,CPU 选型有以下几点要求: a)性价比高; b)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; c)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功 参考设计,一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,比如440EP就有yosemite开发板和 bamboo开发板,我们参考得是yosemite开发板,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU 都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计;

4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守 以下原则: a)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷偏芯片,减少风险; b)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; c)采购方便原则:尽量选择容易买到,供货周期短的元器件; d)持续发展原则:尽量选择在可预见的时间内不会停产的元器件;e)可替代原则:尽量选择pin to pin兼容种类比较多的元器件;f)向上兼容原则:尽量选择以前老产品用过的元器件; g)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每 个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;这是整个原理图设计过程中最关键的部分,我们必须做到以下几点: a)对于每个功能模块要尽量找到更多的成功参考设计,越难的应该越多,成功参考设计是“前人”的经验和财富,我们理当借鉴吸收,站在“前人”的肩膀上,也就提高了自己的起点;

1.层次原理图设计

层次原理图设计 一实验目的 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二实验内容 绘制洗衣机控制电路层次原理图,包括“复位晶振模块”,“CPU模块”,“显示模块”和“控制模块”。 三实验步骤 注意:在每个原理图上都设计一个模板,内容包括:标题、姓名、学号、专业年级,日期等内容。 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示 图1 模块电路属性

图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口 5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。

EDA简单电路原理图设计

实验四简单电路原理图设计 一、实验目的: 1.掌握利用Protel 99 SE进行电路原理图设计的一般步骤。 2.掌握原理图编辑器中对图纸的设置,对电路图的大小、网格、光标、对象系统字体的设置方法。 3.掌握绘制原理图的基本方法,能绘制比较简单的电路原理图。 二、实验仪器: PC机一台,Protel 99 SE软件 三、实验内容: 1.在原理图文件中,练习打开及关闭以下工具栏: 主工具栏:【View】|【Toolbars】|【Main Tools】 布线工具栏:【View】|【Toolbars】|【Wiring Tools】 绘图工具栏:【View】|【Toolbars】|【Drawing Tools】 电源及接地工具栏:【View】|【Toolbars】|【Power Objects】 常用器件工具栏:【View】|【Toolbars】|【Digital Objects】2.利用菜单命令和键盘功能键放大及缩小原理图。 3 图电路原理样图 4. 绘制如图所示带有总线的电路原理图。 表 1 带有总线的电路图元件明细表

74LS04 U9 74LS04 DIP14 RES2 R3 470K RES2 R4 470K 4040 U12 4040 DIP16 SW DIP-8 SW1 SW DIP-8 DIP16 U9 在Protel DOS Schematic 中的Protel DOS Schematic U12 在Protel DOS Schematic 中的Protel DOS Schematic 其余元件在Miscellaneous 图带有总线的电路原理图 四、实验步骤: 1.启动Protel99 SE,新建一个设计数据库文件,名称定为“班级姓名.ddb”。 2.启动电路原理图编辑器,新建一个原理图文件,命名为“姓名.sch”。 3.先分析电路图中所有元器件的属性,装入元器件库、Miscellaneous 和Protel DOS Schematic 。 4.然后按照样图把所有元器件和端口放置到电路原理图纸上,调整各元件的位置,用导线连接,启动“自动搜索电气节点”功能,启动“自动节点放置”功能。编辑导线,调整导线长短。

原理图设计方法1

原理图设计方法1

原理图设计简介 本文简要介绍了原理图的设计过程,希望能对初学者有所帮助。 一.建立一个新的工程 在进行一个新的设计时,首先必须利用Project Manager对该设计目录进行配置,使该目录具有如下的文件结构。 Project Project directory

包含了该设计所用到 的所有库文件的路 径。 design library 该目 录下存放原理图等相关信息。 Design directory 下面举例说明: 启动Project Manager Open: 打开一个已有Project . New :建立一个新的Project . 点击New 如下图: worklib Design

此处添入你 的工程名 cadence将会以你所填入的project name如:myproject给project file和design library分别命 名为myproject.cpm和myproject.lib 点击下一步 Available Library:列出所有可选择的库。包括cadence自带库等。 Project Library:个人工程中将用到的所有库。 如myproject_lib 点击下一步

此处添入你 的设计名点击下一步 点击Finish完成对设计目录的配置。 为统一原理图库,所有共享的原理图库统一放在CDMA硬件讨论园地----PCB设计专栏内。 其中:libcdma 目录为IS95项目所用的器件库(已作废)。 libcdma1 目录为IS95项目之后所用的器件库(已作废)。

protel 原理图设计步骤

protel 99 se原理图设计步骤 1.1 protel 99 se电路板设计步骤 一般而言,设计电路板最基本的过程可以分为三大步骤。 1电路原理图的设计 电路原理图的设计主要是protel 99 se的原理图设计系统(Advanced Schematic)来绘制一张电路原理图。在这一过程中,要充分利用protel 99 se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。 2产生网络表 网络表是电路原理图设计(SCH)与印制电路板设计(PCB)之间的一座桥梁,它是电路板自动的灵魂。网络表可以从电路原理图中获得,也可从印制电路板中提取出来。 3印制电路板的设计 印制电路板的设计主要是针对protel 99 se的另外一个重要的部分PCB而言的,在这个过程中,我们借助protel 99 se提供的强大功能实现电路板的版面设计,完成高难度的等工作。 1.2 绘制简单电路图 1.2.1 原理图设计过程 原理图的设计可按下面过程来完成。 4设计图纸大小 首先要构思好零件图,设计好图纸大小。图纸大小是根据电路图的规模和复杂程度而定的,设置合适的图纸大小是设计好原理图的第一步。 5设置protel 99 se/Schematic设计环境 包括设置格点大小和类型,光标类型等等,大多数参数也可以使用系统默认值。 6旋转零件 用户根据电路图的需要,将零件从零件库里取出放置到图纸上,并对放置零件的序号、零件封装进行定义和设定等工作。

7原理图布线 利用protel 99 se/Schematic提供的各种工具,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 8调整线路 将初步绘制好的电路图作进一步的调整和修改,使得原理图更加美观。 9报表输出 通过protel 99 se/Schematic提供的各种报表工具生成各种报表,其中最重要的报表是网络表,通过网络表为后续的电路板设计作准备。 10文件保存及打印输出 最后的步骤是文件保存及打印输出。 原理图的设计流程图如下图1-1所示。 图1-1原理图设计流程 1.2.2 新建一个设计库 (1)启动Protel 99 se,出现以下启动界面,如图1-2所示。

PCB原理图绘制步骤

原理图的绘制 A、新建工作空间和原理图 项目是每项电子产品设计的基础,在一个项目文件中包括设计中生成的一切文件,比如原理图文件、PCB图文件、以及原理库文件和PCB库文件。在项目文件中可以执行对文件的各种操作,如新建、打开、关闭、复制与删除等。但是需要注意的是,项目文件只是起到管理的作用,在保存文件时项目中的各个文件是以单个文件的形式存在的。所以每完成一个库就保存一次。 新建工作区间 1、在菜单栏中选择File-New-Project-PCB Project. 2、形成一个PCB-Project1.PriPCB面板然后重命名最后分别添加scematic sheet形成Sheet.SchDoc文件保存后面一次添加形成PCB.PcbDoc、Pcblib.Pcblib、schlib.schlib文件分别进行保存。 3、在schlib.schlib文件里面添加你需要的库文件进行保存这时候要区分引脚与网口标号,特别是引脚一定要放置正确按照所发的书上进行标号,创建一个库就保存一次直到你需要的几个模块的器件你都画好了。 4、然后找到库文件将你画好的东西放置到Sheet.SchDoc原理图上面这时候再来放置网口标号用线将该连接的地方连接起来画好了看看自己的和书上的区别检查是否有错误的地方,最后将文件进行保存。点击Libraries面板,点左上角Libraries按钮,

如果你想在所有工程里都用就在Imstalled里点Install添加,如果只想在当前工程里使用就在Projiect里面点Add Library。 5、画封装图。 根据我们焊电路板的板子来测量距离将需要的器件进行封装,封装的过程中那一页会出现一个十字号将焊盘放置在十字号上确保第一个焊盘的x、y值都为零然后按照自己测量的数据一次拍好焊盘在一个在Top Layer这一层上放置,防止完成后切换到Top Overlay上面进行划线封装。对于LED灯要表明它的正极同样的道理没画好一个库进行一次保存直到最终完成了。最终形成了一个PCB Project文件库。 6、所有元器件编号的方法 你可以双击元件来改变,Visual属性为True。还可以让所有元件自动编号。 7、形成PCB图 在原理图里面双击你要添加的那一个模块添加PCB封装图浏览一下然后查看引脚映射是否一一对应如果对应就是没有出现错误最后点设计然后点击形成PCB图就可以了这个过程中也有一个地方查错的只要对了就会有一个对勾。这也是我自己一个一个添加的原因防止哪里出现了错误难以发现、最终画好了是出现的虚实线连接。 8、布线绘制图 这里面可以选择自动布线也可以进行手动添加布线,布线的时候

电路原理图分析详解

电子电路图原理分析 电器修理、电路设计都是要通过分析电路原理图,了解电器的功能和工作原理,才能得心应手开展工作的。作为从事此项工作的同志,首先要有过硬的基本功,要能对有技术参数的电路原理图进行总体了解,能进行划分功能模块,找出信号流向,确定元件作用。若不知电路的作用,可先分析电路的输入和输出信号之间的关系。如信号变化规律及它们之间的关系、相位问题是同相位,或反相位。电路和组成形式,是放大电路,振荡电路,脉冲电路,还是解调电路。 要学会维修电器设备和设计电路,就必须熟练掌握各单元电路的原理。会划分功能块,能按照不同的功能把整机电路的元件进行分组,让每个功能块形成一个具体功能的元件组合,如基本放大电路,开关电路,波形变换电路等。 要掌握分析常用电路的几种方法,熟悉每种方法适合的电路类型和分析步骤。 1.交流等效电路分析法 首先画出交流等效电路,再分析电路的交流状态,即:电路有信号输入时,电路中各环节的电压和电流是否按输入信号的规律变化、是放大、振荡,还是限幅削波、整形、鉴相等。 2.直流等效电路分析法 画出直流等效电路图,分析电路的直流系统参数,搞清晶体管静态工作点和偏置性质,级间耦合方式等。分析有关元器件在电路中所处状态及起的作用。例如:三极管的工作状态,如饱和、放大、截止区,二极管处于导通或截止等。 3.频率特性分析法 主要看电路本身所具有的频率是否与它所处理信号的频谱相适应。粗略估算一下它的中心频率,上、下限频率和频带宽度等,例如:各种滤波、陷波、谐振、选频等电路。 4.时间常数分析法 主要分析由R、L、C及二极管组成的电路、性质。时间常数是反映储能元件上能量积累和消耗快慢的一个参数。若时间常数不同,尽管它的形式和接法相似,但所起的作用还是不同,常见的有耦合电路、微分电路、积分电路、退耦电路、峰值检波电路等。 最后,将实际电路与基本原理对照,根据元件在电路中的作用,按以上的方法一步步分析,就不难看懂。当然要真正融会贯通还需要坚持不懈地学习。 电子设备中有各种各样的图。能够说明它们工作原理的是电原理图,简称电路图。 电路图有两种 一种是说明模拟电子电路工作原理的。它用各种图形符号表示电阻器、电容器、开关、晶体管等实物,用线条把元器件和单元电路按工作原理的关系连接起来。这种图长期以来就一直被叫做电路图。 另一种是说明数字电子电路工作原理的。它用各种图形符号表示门、触发器和各种逻辑部件,用线条把它们按逻辑关系连接起来,它是用来说明各个逻辑单元之间的逻辑关系和整机的逻辑功能的。为了和模拟电路的电路图区别开来,就把这种图叫做逻辑电路图,简称逻辑图。 除了这两种图外,常用的还有方框图。它用一个框表示电路的一部分,它能简洁明了地说明电路各部分的关系和整机的工作原理。 一张电路图就好象是一篇文章,各种单元电路就好比是句子,而各种元器件就是组成句子的单词。所以要想看懂电路图,还得从认识单词——元器件开始。有关电阻器、电容器、电感线圈、晶体管等元器件的用途、类别、使用方法等内容可以点击本文相关文章下的各个链接,本文只把电路图中常出现的各种符号重述一遍,希望初学者熟悉它们,并记住不忘。 电阻器与电位器(什么是电位器) 符号详见图 1 所示,其中( a )表示一般的阻值固定的电阻器,( b )表示半可调或微调电阻器;( c )表示电位器;( d )表示带开关的电位器。电阻器的文字符号是“ R ”,电位器是“ RP ”,即在 R 的后面再加一个说明它有调节功能的字符“ P ”。

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

电路设计的一般步骤

电路设计的一般步骤 通常,硬件电路设计师在设计电路时,都需要遵循一定的步骤。要知道,严格按照步骤进行工作是设计出完美电路的必要前提。对一般的电路设计而言,其过程主要分为以下3步: 1.设计电路原理图 在设计电路之初,必须先确定整个电路的功能及电气连接图。用户可以使用Protel99提供的所有工具绘制一张满意的原理图,为后面的几个工作步骤提供可靠的依据和保证。 2.生成网络表 要想将设计好的原理图转变成可以制作成电路板的PCB图,就必须通过网络表这一桥梁。在设计完原理图之后,通过原理图内给出的元件电气连接关系可以生成一个网络表文件。用户在PCB设计系统下引用该网络表,就可以此为依据绘制电路板。 3.设计印刷电路板 在设计印刷电路板之前,需要先从网络表中获得电气连接以及封装形式,并通过这些封装形式及网络表内记载的元件电气连接特性,将元件的管脚用信号线连接起来,然后再使用手动或自动布线,完成PCB板的制作。 原理图的设计步骤: 一般来讲,进入SCH设计环境之后,需要经过以下几个步骤才算完成原理图的设计: 1.设置好原理图所用的图纸大小。最好在设计之处就确定好要用多大的图

纸。虽然在设计过程中可以更改图纸的大小和属性,但养成良好的习惯会在将来的设计过程中受益。 2.制作元件库中没有的原理图符号。因为很多元件在Protel99中并没有收录,这时就需要用户自己绘制这些元件的原理图符号,并最终将其应用于电路原理图的绘制过程之中。 3.对电路图的元件进行构思。在放置元件之前,需要先大致地估计一下元件的位置和分布,如果忽略了这一步,有时会给后面的工作造成意想不到的困难! 4.元件布局。这是绘制原理图最关键的一步。虽然在简单的电路图中,即使并没有太在意元件布局,最终也可以成功地进行自动或手动布线,但是在设计较为复杂的电路图时,元件布局的合理与否将直接影响原理图的绘制效率以及所绘制出的原理图外观。 5.对原理图内的图件进行电气连接。这里提到的线路可以是导线、接点或者总线及其分支线。当然,在比较大型的系统设计中,原理图的走线并不多,更多的时候是应用网络标号来代替直接的线路连接。这样做既可以保证电路的电气连接,又可以避免使整个原理图看起来杂乱无章。 6.放置注释。这样做可以使电路图更加一目了然,增强了可读性。同时,它也是一个合格的电路设计人员所必须具备的素质之一。 PCB设计流程: 对于初次接触印制电路板设计的用户来说,首先面临的问题就是设计工作中究竟包括哪些步骤,应从什么地方入手、各个步骤之间的衔接关系如何?因此,在利用Protel99SE设计印刷电路板之前,必须了解基本工序,也就是印制电路

绘制原理图步骤(精)

设计原理图步骤 一、新建设计工程(也称为“设计任务”) 建议存储在自己的U盘里,以备后用。(若设了密码,其用户名为“admin”) 二、新建一个原理图文件 (可以修改原理图名) 三、设置参数 1、设置图纸:Design→Option 1)设置纸张大小:A4; 2)图纸方向:横向(Landscape); 3)图纸标题栏(Title Block):选择标准形式; 4)设置图纸网格 “Snap”:“捕获栅格”,可以改变光标每次移动的最 小距离。系统默认值为10 mil,即1/1000英寸; “Visible”:选中此项表示网格可见; 如果将“Snap”和“Visible”设置为相同的数值,那 么光标每次移动一个网格;如果将“Snap”数值设置为 “Visible”数值的一半,那么光标每次移动半个网格。 5)设置电气栅格(设为默认) “Electrical Grids”:如果选中,系统会以“Grid Range”栏中设置的值为半径,以光标所在位置为中心,向四周搜索 电气节点。如果在电气栅格的范围内有电气节点,光标自

动移到该节点上,并且在该节点上显示一个圆亮点;如果 不选中此项复选框,则无自动寻找电气节点的功能。 2、参数设置:Tool→Preferences Schematic选项卡中: Auto-Junction:选中的话,导线连接时在T形交叉点自动放置节点,表示导线电气连接。(选中) Drag Orthogonal:选中的话,导线走线是直线或垂直移动,否则任意方向走线。(选中) 其余均为默认状态。 四、添加元件库 建议添加“Miscellaneous Devices.ddb”、“Protel DOS Schematic Libraries.ddb”两个元件库。 一次不能装入过多的元件库,用那个库就添加那个库,若装入过多,就会占用系统较大的内存空间,系统容易死机。 Find:在整个库里边进行查找元件。 其中“By Library Reference”按照元件名称查找;“By Description”按照元件描述栏的资料进行查找。(支持通配符) 五、放置元件 六、调整元件 1、改变元件的方向 X按键:水平翻转;Y按键:垂直翻转;空格:旋转90度。 2、删除元件

实验4使用AltiumDesigner绘制电路原理图(上机)

实验4 使用Altium Designer绘制电路原理图 一、实验目的 1、熟悉Altium Designer的软件使用界面 2、掌握Altium Designer的原理图绘制流程及方法 二、实验原理 机器狗控制板的前端电路是主要由多个三极管构成的触发脉冲产生电路,如图4-1所示。咪头S1采集声音信号,经电容C1耦合送入由三极管Q1与电阻R1、R2、R5组成的单管共射放大电路,声音信号经放大电路放大后再经电容C2耦合作为三极管Q2的基极控制电压。如果控制电压足够大,则Q2管发射结导通,Q2管处于饱和状态,集电极电压为低电平,经接头P2的1脚送出去触发后端的单稳态触发器;如果控制电压不够大,Q2管发射结不导通,Q2管处于截止状态,集电极电压为高电平,将无法触发单稳态触发器。 图4-1 机器狗控制板前端电路原理图

接头P2的2脚接单稳态触发器的输出端。当单稳态触发器被触发了,则该端接高电平,经二极管D2给电容C3充电,当C3两端电压足够高了,这三极管Q3导通,将Q2的基极电位强制拉回到低电平,Q2截止,为下一次触发做准备。但Q3导通后,电容C3放电,C3两端电压下降到一定值后,Q3截止。通过D2、C3和Q3组成的反馈控制,使得单稳态触发器可以被多次重复触发。 三、实验条件及设备 1、计算机 2、EDA设计软件Altium Designer 13 四、实验内容与操作步骤 绘制电路原理图步骤见图4-2。

步骤1.创建PCB 设计项目(*.PrjPCB ) 启动Altium Designer,创建PCB 设计项目:Cat.PrjPCB 。 步骤2.创建原理图文件 在AD 初步.PrjPCB 项目下,执行选单命令【 File 】/【New 】 /【Schematic 】,创建原理图文件,并另存为“AD 初步.SchDoc ”。这里应注意的是做项目的思想,尽量把一个工程的文件另存为到同一文件夹下,方便以后的管理。 进入原理图编辑器后,设计者可以通过浏览的方式熟悉环境、各菜单命令。这里对一些常用菜单做简单说明。 如图4-4,【File 】是对项目创建管理的窗口,【Edit 】是对画原理图时对其一些功能的编辑,【View 】具有查看、放大、缩小的功能,【Project 】可以对原理图进行编译,检查错误,【Place 】中有一些常用器件,可直接放置,【Design 】可以进行一些高级设计,【Tools 】平时用得比较多点,可以对元器件进行自动排序,查看元器件的封装等。 如图4-5,这个工具栏可以直接对连线、总线、文本、地线、电源等进行放置。 如图4-6,这个工具栏可以直接对电阻、电容等进行放置。

层次原理图设计步骤

层次原理图设计步骤 一. 新建工程文件及原理图文件 1. 在D盘新建一个文件夹D:/student 2. 建立一个工程文件,选择File/New/Project/PCB Project,如:单片机最小系统.prjdoc 3. 新建一个原理图文件,选择File/New/Schematic,如:单片机最小系统.schdoc 4. 绘制原理图父图 (1)放置方块电路 点击Place/Sheet Symbol命令,或点击快捷键,放置方块电路,按Tab键打开属性对话框。 注意:标号Designator与文件名Filename必须一致,如都为CPU功能模块。 (2)放置方块入口 点击Place/Sheet Entry命令,或点击快捷键,放置方块入口,按Tab键打开属性对话框。 注意:入口的名称Name,如XTAL1和I/O类型(Input、Output、Bidirectional),以及端口方向Style 选择。 (3)连线 画好各功能模块后,要对其进行连线。 注意:在总线上放置总线标号,如A[0..15]、AD[0..7]。 二. 分别绘制方块电路符号所对应的子原理图 1. 将光标点到某一模块上,执行Design/Create Sheet From Symbol命令,或点右键,选择Sheet Symbol Action/Create Sheet From Symbol命令,显示一个对话框Reverse Input/Output Direction:Yes(输入、输出类型变化)、No(Input、Output类型不变);应选择No。 2. 开始画出对应的各张子原理图 (1)CPU功能模块:

相关文档
最新文档