电子技术基础考试必备(十套试题,有答案)

电子技术基础考试必备(十套试题,有答案)
电子技术基础考试必备(十套试题,有答案)

电子技术基础试题(八)

一.填空题:(每题3分,共30分)

1、PN结具有__________性能。

2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。

3、射极输出器放在中间级是兼用它的____________大和____________

小的特点,起阻抗变换作用。

4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最

大,这种现象称为______________。

5、运算放大器的输出是一种具有__________________的多级直流放大器。

6、功率放大器按工作点在交流负载线上的位置分类有:______类功放,

______类功放和_______类功放电路。

7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放

管提供少量__________,以减少__________失真。

8、带有放大环节的串联型晶体管稳压电路一般由__________ 、

和___________四个部分组成。

9.逻辑代数的三种基本运算是 _________ 、___________和___________。

10.主从触发器是一种能防止__________现象的实用触发器。

二.选择题(每题3分,共30分)

1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。

A.零偏

B.反偏

C.正偏

2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。

A.集电极电流减小

B.集电极与发射极电压V CE上升

C.集电极电流增大

3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。

A.3A V

B.A3V

C.A V3/3

D.A V

4.正弦波振荡器中正反馈网络的作用是:()。

A.保证电路满足振幅平衡条件

B.提高放大器的放大倍数,使输出信号足够大

C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激

振荡

5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。

A.有交越失真

B.易产生自激

C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为

7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接

入电路,这时组合管的稳压值是:( )。

A.8V

B.7.5V

C.15.5V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

A.在开启时提供很大的正向基极电流,并在关闭时提供很大的反向基

极电流

B.在开启时提供很大的反向基极电流

C.隔开直流电压

8.逻辑函数式E F+E F+EF,化简后答案是:()。

A.EF

B.F E+E F

C.E+F

9.基本RS触发器电路中,触发脉冲消失后,其输出状态:()。

A.恢复原状态

B.保持现状态

C.出现新状态

10.八位二进制数能表示十进制数的最大值是:()。

A.225

B.248

C.192

三、分析计算题(每题10分,共40分)

1.写出图中逻辑电路的逻辑表达式并化简之,再画出化简后的逻辑电路图。

2.图中:R1=R2=R3=R4=R=10千欧,V i1=10V,V i2=20V。求V o=?

3.由NPN型三极管组成如图所示的单级小信号放大器,已知:直流电源V G=12V ,R b=400千欧,R c=3千欧,晶体管的β=80,忽略I CEO,试求放大器的静态电流I BQ,I CQ及电压V CEQ。

4.试化简下列逻辑函数式:

①AB+AB

②AB+AB+AC

③AB(B+C)A

④AB+ABD+AC+BCD

⑤AB+C+(AB+C)(CD+A)+BD

电子技术基础试题(八)

参考答案

一.填空题:

1、单向导电特性

2、增大

3、输入电阻大,输出电阻小

4、相等、阻抗匹配

5、深度负反馈高增益

6、甲、乙、甲乙

7、偏流I BQ、交越

8、采样电路、基准电源、比较放大电路、调整元件 9、逻辑乘、逻辑加、逻辑非

10、空翻

二.选择题:

1、C

2、A

3、B

4、A

5、C

6、B

7、A

8、C

9、B 10、A

三、分析计算题:

1.解:原电路逻辑表达式是Y=(AB+C)+(AB+C)(CD+B)

化简 Y=(AB+C)+(AB+C)(CD+B)

=(AB+C)+(CD+B)

=B(1+A)+C(1+D)

=B+C

化简后的逻辑图如图所示:

2、解:电路中输入端是两个电压跟随器,可见VA=Vi1=10V,VB

=Vi2=20V,根据减法运算电路知V0=Vi2-Vi1=20-10=10V

3、解:

4、解:

电子技术基础试题(九)

一、填空题:(每题3分,共30分)

1、晶体三极管正常工作的外部条件是,对NPN管,各极电位应满足关系 ,对PNP管应满足。

2、按三极管放大电路的结构,可分、、和三种组态。

3、共集放大电路的特点是:、、。

4、某放大电路的开环增益为100,引入反馈系数为0.1的反馈网络后,其闭环增益

为。

5、反馈放大电路的反馈系数是与的比值

6、在RS、JK、D、T四种触发器中,不具有保持功能的是触发器,不具有计数功能的是和。

7、由四个触发器构成的计数器,它的计数状态最多为。

8、计数器就是对个数进行记录的逻辑部件。

9、基本RS触发器有、和保持原态三种功能。

10、负反馈能使放大器的放大倍数,但放大器的稳定性。

二、选择题:(每题3分,共30分)

1.将(1101101)2转换为十进制数为( )

A.109

B.61

C.105 205

2.利用分配律写出C+DE的等式为( )

A.(C+D)(C+E)

B.CD+CE

C.C+D+E

D.CDE 3.放大器功率放大倍数为100,问功率增益是多少分贝( )

A.10dB

B.20 dB

C.30 dB

D.40 dB

4.工作在放大状态的晶体管,当I B从30uA增大到40uA时,I C从2.4mA 变成3mA,则该管的β为( )

A.80

B.60

C.75

D.100

5.采用差动放大电路是为了( )

A.稳定电压放大倍数

B.增加带负载的能力

C.提高输入阻抗

D.克服零点漂移

6.放大变化缓慢的信号应采用( )

A.直接耦合的放大器

B.阻容耦合的放大器

C.变压器耦合的放大器

D.任一放大器

7.电流串联负反馈具有( )的性质

A.电压控制电压源

B.电压控制电流源

C.电流控制电压源

D.电流控制电流源

8.要同时提高放大器的输入电阻和输出电阻,应引入的反馈组态是( )

A.电压串联负反馈

B.电压并联负反馈

C.电流串联负反馈

D.电流并联负反馈

9.测得工作在放大状态的某三极管的三个极1、2、3对地电位分别如下:V1=0V,V2=-5V,V3=-0.3V,则可判断( )

A.该管为NPN管,材料为硅,1极为集电极

B.该管为NPN管,材料为锗,2极为集电极

C.该管为PNP管,材料为硅,1极为集电极

D.该管为PNP管,材料为锗,2极为集电极

10.某放大器空载时,输出电压为2V,接上负载电阻R L =1K 时,测得输出电压为0.5V,

则该放大器的输出电阻为( )

A.1K Ω

B.2K Ω

C.3K Ω

D.4K Ω

三、分析计算题:(每题10分,共40分)

五.分析计算:(60分)

1.电路如图所示,已知V CC =12V ,R B1=5K Ω,R B2=1K Ω,R C =3K Ω,R E =0.5K Ω,

β=50,硅管

(1)画出电路的直流通路、交流通路;

(2)求该电路的电压放大倍数、输入电阻、输出电阻。

2.电路中稳压管的稳压值为6V,供电电压V I =14V, R =1K ,R L =2K ,求V O,I,IZ,IO

u o

+ V O

3、只有一辆自行车,要求只有在A 同意的情况下,B 和C 才可以骑;但B 具有优先权,B 不骑时C 才可以骑。

(1)列出真值表;(2)写出最简逻辑表达式;(3)试用与非门设计电路,画出逻辑电路图。

4、某计数器如图,回答下列问题:(1)欲使Q 2Q 1Q 0=000,CR

端应为何种

电平?(2)若电路初态Q 2Q 1Q 0=000列出在输入脉冲CP 作用下,该电路状态表。(3)说明该电路的逻辑功能。

。 。

。 。 。 。 。 。 Q 2

Q 1 Q 0 Q Q Q Q Q Q

CR CP

C C C J 0

J 1 J 2 K 2

K 1

K 0

FF 1 FF 2 FF 0

电子技术基础试题(九)

参考答案

一.填空题:

1、发射结加正向电压,集电结加反向电压、V C>V B>V E、V C

2、共射、共集、共基

3、电压放大倍数小于1接近1、输入阻抗高、输出阻抗低

4、100/11

5、反馈信号、输出信号

6、D、RS、D

7、16

8、输入脉冲

9、置0、置1

10、降低、提高

二.选择题:

1、A

2、A

3、B

4、B

5、D

6、A

7、B

8、C

9、D 10、C

三、分析计算题:

1、I B=45uA I C=2.25mA V CE=9.75V

2、VO=6V

I=8mA

IO=3mA

IZ=5mA

3、解:(1)真值表:A=1表示同意,A=0表示不同意;B、C=1表示要骑车,B、C=0表示不骑车;Y1=1表示B能骑车,Y2=1表示C不能骑车

(2)逻辑表达式

Y1=AB C+ABC=AB=AB

Y2=A B C=C

A

B

(3)逻辑图

4、解:

(1)低电平

(2)

(3)六进制同步加法计数器

电子技术基础试题(十)一.填空题:(每题3分,共30分)

1、n个输入变量可有__________种不同组合,把每种输入状态下的输出状态列出来,就构成了描述组合逻辑的__________。

2、直流放大器只能采用__________耦合方式,因为__________耦合和__________耦合不能传输直流信号。

3、制作半导体器件时,使用最多的半导体材料是__________和__________,它们都是__________价元素。

4、振荡器是在无输入信号的条件下能连续不断地产生__________的电路。

5、小功率直流稳压电源包含:电源变压器、__________、滤波电路和__________等部分。

6、对JK触发器,当JK=01时,Q n+1= ,对T触发器,当T=0时,Q n+1= 。

7、NPN型晶体三极管的发射区是____型半导体。

8、门电路中,最基本的逻辑门是________、________和________。

9、与非门构成的基本RS触发器,当0

S1

=

R时,其Q输出是___________。

=

10、一个放大器的电压增益是120 dB,相当于放大倍数__________。

二.选择填空:(每题3分,共30分)

1.在基本放大电路的三种组态中,只有电流放大作用而没有电压放大作用的电路组态是()

A.共集

B.共基

C.共射

2.当整流输出电压相同时,二极管承受反向电压最小的电路是()

A.单相半波

B.单相全波

C.单相桥式

3.正弦波振荡器的振荡频率取决于()

A.正反馈的强度

B.反馈元件的参数

C.选频网络参数

4.放大电路中若测得某三极管极间电压如下:1—2间为7V,2—3间为

6.3V,则该管类型、材料及1、2、3极分别为()

A.NPN型、硅管、E、C、B

B.NPN型、硅管、C、B、E

C.NPN型、锗管、E、C、B

D.PNP型、硅管、E、C、B

5.直流稳压电源中的电路工作的先后顺序是()

A.滤波、稳压再整流

B.整流、滤波再稳压

C.滤波、整流再稳压

D.整流、稳压再滤波

6.二十进制编码器,若有四个输出端,可进行编码的个数是()

A.2个

B.10个

C.16个

D.8个

7.下列电路中具有记忆功能的是()

A.编码器

B.译码器

C.计数器

D.全加器

8.对一般触发器,当满足Qn+1=Qn时,为何功能()

A.记数

B.保持

C.不定

D.置0、置1

9.能使输出电流稳定的是:()

A.串联负反馈

B.电压负反馈

C.并联负反馈

D.电流负反馈

10.TTL数字电路中集成芯片的电源电压值一般取用()

A.1V

B.100V

C.20V

D.5V

三.分析计算题(每题10分,共40分)

1、在如图所示放大电路中,改变R b1的阻值,

就可以调整放大器的静态工作点。现在要求V CEQ=

-8.5V,问R b1应选多大?

2、如图电路中,若输入电压Vi=16V,稳压管的稳定电压Vz=10.7V,

负载电阻R L=100欧,试求R L上的直流电压V o及晶体三极管的功率损

耗。

3、如图电路,假设两个触发器的初始状态均为0态,根据输入的波形画出输出波形,并列出状态表说明是模为多少的计数器。

4、

分析下图所示逻辑电路。电路输入变量A 、B 、C 和输出函数F ,G

均代表一位二进制数。试写出输出表达式,列出真值表,并说明电路的逻辑功能。

电子技术基础试题(十)

参考答案

一.填空题 1、2n、真值表 2、直接、阻容、变压器 3、硅、锗、四

4、输出信号

5、整流电路、稳压

6、0、Qn

7、N

8、与门、或门、非门

9、1

10、106

二.选择题:

1、A;

2、C;

3、C;

4、A;

5、B;

6、C;

7、C;

8、B;

9、D10、D

三.分析计算题:

1、

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

电子技术基础试题

电子技术基础(三)试题 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个选项是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.以下关于脉动直流电压的描述中,正确的是() A.电压的大小和方向均不随时间变化 B.电压的大小随时间变化,但方向不随时间变化 C.电压的大小不随时间变化,但方向随时间变化 D.电压的大小和方向均随时间变化 2.以下关于理想电流源特性的描述中,正确的是() A.理想电流源的信号源内阻接近于零 B.理想电流源任何时候都可以串联在一起 C.理想电流源的输出电流与负载无关 D.理想电流源两端的电压与负载无关 3.电路如题3图所示,已知相量电流则向量电流I为() A.10∠90° (A) B.10∠-90° (A) C.2∠45° (A) D.2∠-45° (A) 4.N型半导体中的多数载流子是() A.自由电子B.空穴 C.五价杂质原子D.五价杂质离子 5.已知工作在放大区的某硅晶体三极管的三个电极电位 如题5图所示,则a、b、c三个电极分别为() A.发射极、基极、集电极 B.发射极、集电极、基极 C.基极、发射极、集电极 D.基极、集电极、发射极 6.理想运放的差模输入电阻R id和输出电阻R O分别为() A.R id=0,R O=0 B.R id=0,R O=∞ C.R id=∞,R O=0 D.R id=∞,R O=∞ 7.为避免集成运放因输入电压过高造成输入级损坏,在两输入端间应采取的措施是() A.串联两个同向的二极管B.串联两个反向的二极管 C.并联两个同向的二极管D.并联两个反向的二极管 8.在单相半波整流电路中,如变压器副方电压的有效值为U2,则二极管所承受的最高反向电压为()

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术基础期末考试考试题及答案

电子技术基础期末考试考试题及答 案 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

触发器,输入信号=0,A.Q=0 B.Q=0C.=0 D.=1脉冲作用下, A.1 B.D C.0 D. 9.下图所示可能是鈡控同步RS 触发器真值表的是<) 10.电路如下图所示,若初态都为0,则的是<) 11.五位二进制数能表示十进制数的最大值是<) A.31B.32C.10 D.5 12.n 个触发器可以构成最大计数长度为的计数器<) A.n B.2n C.n2 D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为<) A.0010 B.0100 C.1100 D.1111 14.下图所示的电路中,正确的并联型稳压电路为<) 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为<) A.50VB.60VC.72VD.27V 二、判断题<本大题共5小题,每小题3分,共15分)<对打√,错打×) 16.P 型半导体中,多数载流子是空穴< ) 17.环境温度升高时,半导体的导电能力将显著下降< ) 18.二极管正偏时,电阻较小,可等效开关断开<) 19.稳压二极管工作在反向击穿区域<) 20.光电二极管是一种把电能转变为光能的半导体器件<)

注:将 选择题 和判断 题答案 填写在 上面 的表 格 里, 否则 该题不得分 三、填空题<本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则 V0=。 四、应用题<本大题共3小题,共35分,要求写出演算过程) 26.<10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q 和的波形。RTCrpUDGiT 27.<9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: <1)R开路;<2)RL开路;<3)稳压二极管V接反; <4)稳压二极管V短路。应该是那种原因,为什么? 28.<16分)分析下图所示电路的工作原理,要求: <1)列出状态表,状态转换图; <2)说明计数器类型。 参考答案及评分标准 一、单项选择题<本大题共15小题,每小题2分,共30分) 二、判断题<本大题共5小题,每小题3分,共15分) 三、填空题<本大题共5小题,每小题4分,共20分) 21.不确定,翻转22.并行和串行 23.VD-ID24.电容、电感、复式25.5.3V 四、应用题<本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q2Q1Q0=000

(完整版)《电工电子技术基础》试题库(附有答案)

一、填空题 1.已知图中 U1=2V, U2=-8V,则U AB=-10。 2.电路的三种工作状态是通路、断路、短路。 3.有三个6Ω的电阻,若把它们串联,等效电阻是 18 Ω;若把它们并联,等效电阻 2Ω;若两个并联后再与第三个串联,等效电阻是 9 Ω。 4.用电流表测量电流时,应把电流表串联在被测电路中;用电压表测量电压时,应把电压表与被测电路并联。 5.电路中任意一个闭合路径称为回路;三条或三条以上支路的交点称为节点。 6.电路如图所示,设U=12V、I=2A、R=6Ω,则U AB= -24 V。 7.直流电路如图所示,R1所消耗的功率为2W,则R2的阻值应为 2 Ω。 8.电路中电位的参考点发生变化后,其他各点的电位均发生变化。 9.在直流电路中,电感可以看作短路,电容可以看作断路。 9.我国工业交流电采用的标准频率是 50 Hz。 10.三相对称负载作三角形联接时,线电流I L与相电流I P间的关系是:I P=3 I L。 11.电阻元件是耗能元件,电容元件是储能元件。

12.已知一正弦电压u=311sin(628t-60o)V ,则其最大值为 311 V ,频率为 100 Hz ,初相位为 -60o 。 13.在纯电阻交流电路中,已知电路端电压u=311sin(314t-60o)V ,电阻R=10Ω,则电流I=22A,电压与电流的相位差φ= 0o ,电阻消耗的功率P= 4840 W 。 14.三角形联结的三相对称负载,若线电压为380 V ,则相电压为 380 V ;若相电流为10 A ,则线电流为 17.32 A 。 15.式Q C =I 2X C 是表示电容元件在正弦电路中的 无功 功率计算公式。 16.正弦交流电压的最大值U m 与其有效值U 之比为 2 。 17.电感元件是一种储能元件,可将输入的电能转化为 磁场 能量储存起来。 18.若三相电动势依次达到最大值的次序为e 1—e 2—e 3,则称此种相序为 正序 。 19.在正弦交流电路中,电源的频率越高,电感元件的感抗越 大 。 20.已知正弦交流电压的有效值为200V ,频率为100Hz ,初相角为30o,则其瞬时值表达式u= 282.8sin (628t+30o) 。 21.正弦量的三要素是 最大值或有效值 、 频率 和 初相位 。 22.对称三相电源是指三个 幅值 相同、 频率 相同和 相位互差120o 的电动势电源。 23.电路有 通路 、 开路 和 短路 三种工作状态。当电路中电流0 R U I S 、端电压U =0时,此种状态称作 短路 ,这种情况下电源产生的功率全部消耗在 内阻 上。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术基础期末考试试题及答案

10.电路如下图所示,若初态都为0,则=1的是()

精品文档 注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则V0= 。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 26.(10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q和Q的波形。27.(9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: (1)R开路;(2)R L开路;(3)稳压二极管V接反; (4)稳压二极管V短路。应该是那种原因,为什么? 28.(16分)分析下图所示电路的工作原理,要求: (1)列出状态表,状态转换图;(2)说明计数器类型。

精品文档 参考答案及评分标准 一、单项选择题(本大题共15小题,每小题2分,共30分) 二、判断题(本大题共5小题,每小题3分,共15分) 三、填空题(本大题共5小题,每小题4分,共20分) 21.不确定,翻转 22.并行和串行 23.V D -I D 24.电容、电感、复式 25.5.3V 四、应用题(本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V 接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q 2Q 1Q 0=000 (1)第一个CP 作用后,Q 0=0→1,0Q =1→0=CP 1,对F 1触发无效,所以Q 1保持0态不变。而F 2没有接到任何触发信号,所以Q 2亦保持0态不变。第二个CP 作用后,Q 0=1→0,而0Q =0→1=CP 1,对F 1属有效触发,所以Q 1=0→1。而1Q =1→0=CP 2,对F 2无效,所以F 2仍为原态即0态。依次按规律分析,可得如下计数状态表为 (2)从状态表和电路结构可知,该计数电路为三位异步二进制加法计数电路。

电子技术基础复习题与答案

中南大学网络教育课程考试(专科)复习题及参考答案 电子技术基础 一、选择题: 1.在杂质半导体中,少子浓度主要取决于( ) (A) 掺入杂质的浓度、 (B) 材料、 (C) 温度 2.测得某PNP型三极管各极点位为:UB=-3V UE=-4V UC=-6V,则该管工作于( ) (A) 放大状态、 (B) 饱和状态、 (C) 截止状态 3.在基本共射放大电路中,若更换晶体管使β值由50变为100,则电路的放大倍数( ) (A) 约为原来的1/2倍 (B) 约为原来的2倍 (C) 基本不变 4.在OCL电路中,引起交越失真的原因是( ) (A) 输入信号过大 (B) 晶体管输入特性的非线性 (C) 电路中有电容 5.差动放大器中,用恒流源代替长尾R e是为了( ) (A) 提高差模电压增益 (B) 提高共模输入电压围 (C) 提高共模抑制比 6.若A+B=A+C,则() (A) B=C; (B) B=C;(C)在A=0的条件下,B=C 7.同步计数器中的同步是指() (A)各触发器同时输入信号;(B)各触发器状态同时改变; (C)各触发器受同一时钟脉冲的控制 8.由NPN管组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是() (A)饱和失真(B)截止失真(C)频率失真 9.对PN结施加反向电压时,参与导电的是() (A)多数载流子(B)少数载流子(C)既有多数载流子又有少数载流子 10.当温度增加时,本征半导体中的自由电子和空穴的数量() (A)增加(B)减少(C)不变 11.通用型集成运放的输入级采用差动放大电路,这是因为它的() A、输入电阻高 B、输出电阻低 C、共模抑制比大 D、电压放大倍数大 12.对于桥式整流电路,正确的接法是( )

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电工电子技术基础考试试卷答案

《电工电子技术基础》 一、填空题:(每题3分,共12题,合计 33 分) 1、用国家统一规定的图形符号画成的电路模型图称为,它只反映电路中电气方面相互联系的实际情况,便于对电路进行和。 2、在实际电路中,负载电阻往往不只一个,而且需要按照一定的连接方式把它们连接起来,最基本的连接方式是、、。 3、在直流电路的分析、计算中,基尔霍夫电流第一定律又称定律,它的数学表达式为。假若注入节点A的电流为5A和-6A,则流出节点的电流I 出= A 。 4、电路中常用的四个主要的物理量分别是、、、。 它们的代表符号分别是、、和; 5、在实际应用中,按电路结构的不同分为电路和电路。凡是能运用电阻串联或电阻并联的特点进行简化,然后运用_________求解的电路为_____;否则,就是复杂电路。 6、描述磁场的四个主要物理量是:___、_____、_______和_______;它们的代表符号分别是____、_____、______和____; 7、电磁力F的大小与导体中 ____的大小成正比,与导体在磁场中的有效 ________及导体所在位置的磁感应强度B成正比,即表达式为:________ ,其单位为:______ 。 8、凡大小和方向随时间做周期性变化的电流、电压和电动势交流电压、交流电流和交流电动势,统称交流电。而随时间按正弦规律变化的交流电称为正弦交流电。 9、______________、_______________和__________是表征正弦交流电的三个重要物理量,通常把它们称为正弦交流电的三要素。 10、已知一正弦交流电压为u=2202sin(314t+45°)V,该电压最大值为__________ V,角频率为__________ rad/s,初相位为________、频率是______ Hz周期是_______ s。 11、我国生产和生活所用交流电(即市电)电压为 _ V。其有效值为 _ V,最大值为____ V,工作频率f=____ __Hz,周期为T=_______s,其角速度ω=______rad/s,在1秒钟内电流的方向变化是________次。 二、判断下列说法的正确与错误:正确的打(√),错误的打(×),每小题1分,共 20 分 1、电路处于开路状态时,电路中既没有电流,也没有电压。(_) 2、理想的电压源和理想的电流源是不能进行等效变换。(_) 3、对于一个电源来说,在外部不接负载时,电源两端的电压大小等于电源电动势的大小,且 方向相同。(_) 4、在复杂电路中,各支路中元器件是串联的,流过它们的电流是相等的。(_) 5、用一个恒定的电动势E与内阻r串联表示的电源称为电压源。(_) 6、理想电流源输出恒定的电流,其输出端电压由内电阻决定。(_) 7、将一根条形磁铁截去一段仍为条形磁铁,它仍然具有两个磁极. (_ ) 8、磁场强度的大小只与电流的大小及导线的形状有关,与磁场媒介质的磁导率无关(_)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术基础试题及答案

电子技术基础试卷 一、填空题(20分) 1、______电路和_______电路是两种最基本的线性应用电路。 2、晶体二极管具有_______特性。 3、放大电路的分析方法有______和小信号模型分析法。 4、BJT的主要参数是__________。 5、带宽和________是放大电路的重要指标之一。 6、处理模拟信号的电子电路称为_______。 7、把整个电路中的元器件制作在一块硅基片上,构成特定功能的电子电路称为_____电路。 8、在电子电路中反馈按极性不同可分为______和_______两种。 9、判断一个放大电路中是否存在反馈,只要看该电路的输出回路与输入回路之间是否存在反馈网络,即________。 10、负反馈放大电路有四种类型:___________、 ___________、___________以及___________放大电路。 11、放大电路的实质都是_______电路。 12、放大电路可分为四种类型:_______、_______、_______和_______。 二、判断题(1—5题每题2分,6—15题每题1分,共20分) 1、图示中 R引人电压并联负反 2 图题1 2、图示中 R电流串联正反馈 e1 图题2

3、图示电路不能振荡 图题3 4、图示电路不能振荡 图题4 5、图示电路中T 1为共基极组态,T 2 为共集电极组态 图题5 6、PN结的单向导电性关键在于它的耗尽区的存在,且其宽度随外加电压而变化。 7、齐纳二极管是一种特殊二极管。 8、BJT有NPN和PNP两种类型。 9、图解法能分析信号幅值太小或工作频率较高湿的电路工作状态。 10、MOS器件主要用于制成集成电路。 11、差分放大电路中共模电压增益越小,说明放大电路的性能越好。 12、放大电路中的内部噪声与放大电路中个元器件内部载流子运动的不规则无关。 13、放大电路中直流反馈不影响静态工作点。 14、负反馈能够改善放大电路的多方面性能是由于将电路的输出量引回到输入端与输入量进行比较,从而随时对输出量进行调整。 15、在实际应用的放大电路中很少引人负反馈。 三、计算题(1题12分,2题13分,3题15分,共40分) 1、设计一反相加法器,使其输出电压V0= -7V i1+14V i2+3.5V i3+10V i4),允许使用的最大电阻为280kΩ,求各支路电阻。

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电子技术基础考试必备十套试题,有答案

电子技术基础试题(八) 一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功放, ______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。

A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激 振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为 7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接 入电路,这时组合管的稳压值是:( )。 A.8V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

相关文档
最新文档