计算机组成原理_复杂模型课程设计

计算机组成原理_复杂模型课程设计
计算机组成原理_复杂模型课程设计

学号:*********** 成绩

计算机组成原理课程设计报告题目模型计算机及其设计

学院电信学院

专业计算机网络技术

班级网络专****

学生****

指导教师****

设计时间2010/12/20~26

目录

一、概述-------------------------------------------------------------------------------- 2

一)设计主要完成的任务----------------------------------------------------- 2 二)解决的主要问题---------------------------------------------------------- 2

1、确定设计目标----------------------------------------------------------- 2

2、确定指令系统----------------------------------------------------------- 2

3、总体结构与数据通路-------------------------------------------------- 2

4、设计指令执行流程----------------------------------------------------- 2

5、确定微程序地址-------------------------------------------------------- 2

6、写指机器指令----------------------------------------------------------- 2

7、组装、调试--------------------------------------------------------------- 2

二、设计的基本概念和原理-------------------------------------------------------- 3

一)设计的基本概念---------------------------------------------------------- 3 二)设计的原理----------------------------------------------------------------- 3

1、数据格式----------------------------------------------------------------- 3

2、指令格式----------------------------------------------------------------- 3

3、指令系统----------------------------------------------------------------- 4

三、总体设计-------------------------------------------------------------------------- 5

一)实现的方法---------------------------------------------------------------- 5

1、微程序流程图----------------------------------------------------------- 5

2、微地址------------------------------------------------------------------- 7

二)主要技术路线-------------------------------------------------------------- 7 四、详细设计--------------------------------------------------------------------------- 8

一)设计中的主要部件-------------------------------------------------------- 8 二)指令的基本流程--------------------------------------------------------- 10 五、完成的情况---------------------------------------------------------------------- 10

一)设计的程序--------------------------------------------------------------- 11 二)设计的结果--------------------------------------------------------------- 11 六、简要的使用说明---------------------------------------------------------------- 16

一)程序说明------------------------------------------------------------------ 16 二)步骤说明------------------------------------------------------------------ 16

七、总结------------------------------------------------------------------------------- 17

八、参考文献------------------------------------------------------------------------- 18

模型计算机及其设计

一、概述

一)设计主要完成的任务

利用实验室的TDN-CM++教学实验箱,组建一个较为复杂的模型计算机。并验证其能够正常运行。

二)解决的主要问题

1、确定设计目标

确定所设计计算机的功能和用途。

2、确定指令系统

确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。

3、总体结构与数据通路

总体结构设计包含确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传送路径,以及实现这些传送所需要的微命令。

对于部件设置,比如要确定运算器部件采用什么结构,控制器采用微程序控制还是硬布线控制等。

综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。

4、设计指令执行流程

数据通路确定后,就可以设计指令系统中每条指令的执行流程。

根据指令的复杂程度,每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一个微指令中,哪些微操作不能安排在同一条微指令中。

5、确定微程序地址

根据后续微地址的形成方法,确定每条微程序地址及分支转移地址。

6、写指机器指令

根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码,写入到控制存储器中的相应单元中。

7、组装、调试

在总调试前,先按功能模块进行组装和分调,因为只有各功能模块工作正常后,才能保证整机的运行正确。

当所有功能模块都调试正常后,进入总调试。连接所有模块,用单步微指令方式执行机器指令的微程序流程图,当全部微程序流程图检查完后,若运行结果正确,则在内存中装入一段机器指令,进行其他的运行方式等功能调试及执行指令的正确性验证。

二、 设计的基本概念和原理

一) 设计的基本概念

掌握计算机系统各模块的工作原理及相互间的联系,熟悉计算机内部的数据流向,清晰建立计算机整机概念。并在基本模型机的基础上,进一步由浅入深,熟练和掌握带移位运算模型机设计、复杂模型机设计、可重构原理计算机组成等,通过学生自己动手和实践,巩固、加强基本概念的理解,拓展对疑难问题的思路,将所学的知识综合运用,适应各类计算机开发和应用的需要,为深入学习计算机后续课程打下良好基础。通过一个复杂模型机的设计实验来进行实际的计算机设计和实现,然后用CPLD 来实现一个CPU 中的大部分功能的设计实验。

二) 设计的原理

1、 数据格式

模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下:

2、 指令格式

模型机设计的四大类指令一共十六条,其中包括算术逻辑指令、I/O 指令、访问及转移指令和停机指令。

1)

算术逻辑指令

设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下:

其中,OP -CODE 为操作码,rs 为源寄存器,rd 为目的寄存器,并规定:

9条算术逻辑指令的名称、功能和具体格式见表1

2)

访存指令及转移指令

模型机设计2条访存指令――存数(STA )和取数(LDA ),2条转移指令――无条件转移(JMP )和结果为零或有进位转移指令(BZC ),这

4条指令长度为2个字节,其指令格式为:

其中,OP -CODE 为操作码,rd 为目的寄存器地址(LDA 、STA 指令使用)。D 为偏移量(正负均可),M 为寻址模式,其定义如下:

本模型机规定变址寄存器RI 指定为寄存器R2。

3)

I/O 指令

输入(IN )和输出(OUT )指令采用单字节指令,其格式如下:

其中,addr

=01时,选中“INPUT DEVICE ”中的开关组作为输入设备,addr =10时,选中“OUTPUT DEVICE ”中的数码块作为输出设备。

4)

停机指令

指令格式如下:

HALT 指令用于实现停机操作。

3、 指令系统

本模型机共有16条基本指令,其中算术逻辑指令7条,访存指令和程序控制指令4条,输入输出指令2条,其它指令1条,表列出了各条指令的格式、汇编符号、指令功能。

表1

三、总体设计

一)实现的方法

1、微程序流程图

根据机器指令系统的要求,设计微程序流程图如下:

图1:微程序流程图

2、微地址

根据机器指令系统的要求,确定微地址如下:微程序:

$M00018108 $M0101ED82 $M0200C050 $M0300A004 $M0400E0A0 $M0500E006 $M0600A007 $M0700E0A0 $M0801ED8A $M0901ED8C $M0A00A03B $M0B018001 $M0C00203C $M0D00A00E $M0E01B60F $M0F95EA25 $M1001ED83 $M1101ED85 $M1201ED8D $M1301EDA6 $M14001001 $M15030401 $M16018016 $M173D9A01 $M18019201 $M1901A22A $M1A01B22C $M1B01A232 $M1C01A233 $M1D01A236 $M1E318237 $M1F318239 $M20009001 $M21028401 $M2205DB81 $M230180E4 $M24018001 $M2595AAA0 $M2600A027 $M2701BC28 $M2895EA29 $M2995AAA0 $M2A01B42B $M2B959B41 $M2C01A42D $M2D65AB6E $M2E0D9A01 $M2F01AA30 $M300D8171 $M31959B41 $M32019A01 $M3301B435 $M3405DB81 $M35B99B41 $M360D9A01 $M37298838 $M38019801 $M3919883A $M3A019801 $M3B070A08 $M3C068A09

二)主要技术路线

本模型机的数据通路框图如图所示。

图2:数据通路图

其中ALU 为运算器、DR1、DR2 为工作暂存器、R0 为通用寄存器、AR 为地址寄存器、PC 为程序计数器、IR 为指令寄存器、TIME 为时序发生器、MEM 为程序存储器、INPUT 为输入设备、OUTPUT 为输出设备、MC 为微程序控制器。

四、详细设计

一)设计中的主要部件

本设计中主要用了MICRO-CONTROLLER、ALU UNIT、MAIN MEM、BUS UNIT、EXT BUS、INPUT DEVICE、OUTPUT DEVICE等部件。MICRO-CONTROLLER是相当于控制台,ALU UNIT是8位的运算器,MAIN MEM 是存储器,BUS UNIT是数据总线,EXT BUS是系统外部总线,INPUT DEVICE 和OUTPUT DEVICE是输入/输出设备。下面说这些部件的主要组成:

1、MICRO-CONTROLLER(如图所示)

2、ALU UNIT

ALU UNIT是由两片74LS181芯片以并/串形式构成的8位字长的运算器。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2

(用锁存器74LS273实现)来锁存数据。要将内总线上的数据锁存到DR1或DR2

中,则锁存器74LS273的控制端LDDR1或LDDR2须为高电平。当T4脉冲来到的时候,总线上的数据就被锁存进DR1或DR2中了。为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245实现)。若要将运算结果输出到总线上,则要将三态门74LS245的控制端ALU-B 置低电平。否则输出高阻态。

3、MAIN MEM

本设计中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯AD0~AD7与地址线相连,显示地址线内容。数据开关经一个三态门(74LS245)连至数据总线,分时给出地址和数据。因地址寄存器为8位,所以接入6116的地址为A7~A0,而高三位A8~A10接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作。本实验中将OE常接地,在此情况下,当CE=0、WE=0时进行读操作,CE=0、WE=1时进行写操作,其写时间与T3脉冲宽度一致。

4、BUS UNIT

总线数据显示灯(在BUS UNIT单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4为脉冲信号,其它均为电平信号。

5、INPUT DEVICE/OUTPUT DEVICE

数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。

OUTPUT DEVICE主要由LED显示器组成,由此单元内的D0~D7接到系统外部总路线上进行传输数据。

二)指令的基本流程

五、完成的情况

程序设计完成后,进行对其进行单步微指令跟踪。以下是系统初始时及系统取

指令与执行指令单步跟踪的过程:

1、 这是初始时的(所有的器件内的数据皆为FF )

2、 PC 清0(使得系统从00H 单元开始运行)

3、IN R2

(以上这两个步骤是系统取指令的过程——所以指令都同样的方式被取指)

4、COM R2

5、INC R2

6、CLR R1

7、RLC R1,R0

8、LDA M,D,R1(注意,这个过程还有一个在07单元内取数的过程)

9、OUT R0

10、ST A M,R1,D(这个过程,是连续取两条指令的)

11、MOV R0,R1

12、ADC R2,R1

13、BZC M,D

(此上图是判断还没有循环到3次,不进行跳转)

(此上图是判断循环到了3次,,把地址传到PC内)

14、JMP M,D

(此上图是判断还没有循环到3次)

15、OUT R2

六、简要的使用说明

一)程序说明

本设计的程序主要实现循环3次,并在OUTPUT UNIT上输出0~2。

实现其目标主要用BZC M,D和JMP M,D这两条。BZC M,D此指令有判断CY 是否为1,若为1则会跳转指定的地址内;BZC M,D这指令执行完后,其执行的结果没有把地址赋给PC,则执行JMP M,D此指令。总之,BZC M,D就是实现循环3次后就跳转的功能。

JMP M,D则实现对没有循环3次时的跳转。

本设计的程序有一个输入,其输入R2。R2的输入的数是循环的次数,若想循环4次或其它次数自行修改(即在程序执行时,将INPUT DEVICE的输入端置上自己所要的数即可达到修改)。

二)步骤说明

1、模型机操作前的准备工作

按图3所示(此设计第19页)的线路接线,还要使用通讯电缆将实验系统的串行接口与PC微机的串行接口相连接,并将实验系统的电源线接到电源插座中。然后启动PC微机,进入Windows系统,安装本设备提供的应用软件CMPP。(安装方法及软件使用可见用户手册)。

2、模型计算机的运行操作

1)打开实验系统的电源开关,点击图标CMPP,运行软件。

2)未联机正常,也可以进入软件界面,但是所有的菜单里的功能全是灰色不可用(除“文件”及“端口”菜单),且指令区窗口中的数据也全以星号显示。本软件的默认串口为1号串口,若通讯电缆连接到2号串口上,可进入“【端口】”菜单,选择2号串口,然后进行“【端口】-【端口测试】,若还不正常,请确保打开系统电源及检查通讯电缆的连接。具体”排除故障见《使用手册》。

3)进入“【转储】--【转载】”,选择自己的程序“ZP.TXT”,点击“打开”后即进行装载。此文件包含有上述设计的模型机要执行的机器指令程序及定义该机器指令系统的微程序。可从“【文件】—【打开】”来打开此文件,可查看模型计算机

操作的程序及其微程序。

4)装载完成后,选择“【运行】-【通路图】-【复杂模型机】”可打开一个对应的数据通路图。

5)在执行指令之前,要将实验系统右下角的CLR 清零开关向上拨到0位再拨回1位,以将程序计数器和微地址寄存器清为零,使得程序可从零地址开始运行。选择“【运行】-【单步微指令】”功能,每按动一次,系统运行一条微指令并在界面中显示动态数据流及微地址等的变化,仔细观察运行过程,则可了解并掌握计算机的工作过程。

6)每按动一次“【运行】—【单步机器指令】”,则单步执行一条机器指令。一条机器指令对应一段微程序,每执行一条微指令时,计算机同时显示数据流,执行完这条机器指令对应的所有微指令后则自动停止。此时可以再继续单步执行下一条机器指令。当模型计算机执行完一条指令后,PC微机则根据指令的执行过程,在屏幕上显示出其数据流,图中各部件的有效控制信号则用高亮显示,并将下一条微指令代码显示在下方。这样就可以形象地看到一条指令的执行过程。

“【运行】—【单步微指令】”的功能是单步执行一条微指令,同时显示其数据流。

“【运行】—【连续运行】”,则连续运行全部程序,同时连续显示整个数据流。当按动。

“【运行】—【停止】”时才会停止执行,但不是立即停止,只有当一条机器指令运行完后才会停止。

7)单步执行机器指令,观察对应一条机器指令的一系列微操作的运行过程。

七、总结

本课程设计让我受益良多。

在未正式编写程序时,我首先看了此次设计所提供的指令及其指令格式。

本次设计让我重温了实验课时所做的《基本模型实验》,加深了对机器指令的认识。本次设计主要采用机器指令进行编写,但一开始对机器指令不知道如何理解,经过老师与同学的指导,明白到机器指令是CPU能直接识别并执行的指令,它的表

现形式是二进制编码。机器指令通常由操作码和操作数两部分组成,操作码指出该指令所要完成的操作,即指令的功能,操作数指出参与运算的对象,以及运算结果所存放的位置等。其格式如下表:

编写时,主要是把机器指令这一部分编写好。把指令格式弄明白后,接下来就编写了。

指令助记符号的编写比较容易,一个程序写下来就不太明白如何把这些指令助记符号写成机器指令代码了。经过一轮的揣摩,指令格式根据表1所示,就其指令助记符号写成二进制的格令格式,再将其化成十六进制。接下来又有一个问题了,访问指令及转移指令有16位二进制码,而本次设计的机器指令规定是机器指令代码是8位二进制码,那么如何编写。后来这个比较容易解决,经过同学的指导,知道是把这些指令写成两条机器指令就可以了。

以上这些问题解决后,最令人头疼的是如何使用跳转指令,如何达到我的循环3次的程序。

根据表1所示,BZC的指令是要判断CY为1才可跳转的,那么我利用这一点实现我的判断跳转(循环3次后跳转),详细的说明在此设计报告的第16页。

而BZC和JMP这两条跳转指令的执行指令,经过多次的观察,才比较明白其中指令的走向。系统经过取指令将BZC的第一条机器指令经过译码,知道下一条指令是存放转向的地址,连续取第二条指令,并将地址内的数据送到ALU内的,再将数据送到AR(即地址寄存器),后经过判断若CY为1则把此数据送到PC内,若CY 不为1则跳转进行下条指令的取指令过程。JMP的过程只是没有判断那个部分,其它走向基本一样。

八、参考文献

【1】《计算机组成原理与系统结构实验教程》

【2】《计算机组成原理第四版》主编:白中英2008.1科学出版社

图3

计算机组成原理-指令扩展实验解析

《计算机组成原理A》课程设计性实验报告 开课实验室:计算机组成原理实验室年月日 实验题目指令扩展实验 一、实验目的 深入了解教学计算机微程序控制器的组成和设计技术,包括Am2910器件的功能与具体用法,教学计算机的总体组成和部件之间的连接方法,总之应该深入理解控制器部件的组成、设计、控制与使用等诸项知识。 二、设备与环境 TEC-XP+教学机,微机(装有Windows XP、ISPLEVER、ispVM System等软件)。 三、实验内容 在现有的基本指令系统上,扩展2条指令,分别是: 指令一:NXOR DR,SR ,其功能是(DR⊕SR) DR 。 指令二: SWRM DR,[SR] ,其功能是DR与[SR]的值互换,实现寄存器与内存单元(通过间接寻址方式)之间的数据传送。 四、实验步骤 1、CPU模型

2、微程序控制器组成原理框图 3、机器指令与微指令关系 ① PC:存放下一条机器指令的地址(向指令存储器提供指令地 址)。 ②指令存储器:(存放所有机器指令),经地址译码,选中相 应存储单元,取出相应机器指令,送入指令寄存器IR。 ③指令寄存器IR:存放正在执行的机器指令。机器指令包含 两个字段:操作码(OP)、地址码。 ④由操作码(OP)映射得到微地址(译码过程),即机器指令 所对应的微程序入口地址,存入微地址寄存器。 ⑤控制存储器存放所有的微程序,经地址译码读出一条微指 令。 ⑥由控制存储器读出的一条微指令存入微指令寄存器。 4、教学机TEC-XP的控制器提供的控制信号(微指令格式) ① B0-B5字段:DC1:CPU内部总线数据来源选择控制,详 见表1-1;DC2:专用寄存器接收控制,详见表1-2。 ② B6-B11字段:SSH SCI:最低进位输入、移位输入控制 信号,详见表2-1;SST:状态寄存器控制信号,详见表 2-2。 ③ B12-B19字段:A口:0000表示不使用寄存器,1000 表示取IR中的SR,0100表示R4(SP),0101表示R5(PC);B口:0000表示不使用寄存器,1000表示取IR中的DR,0100表示R4(SP),0101表示R5(PC)。

模型设计与制作课程标准

模型设计与制作课程标准 Prepared on 22 November 2020

《模型设计与制作》课程标准 学院浙江农业商贸职业学院 系部艺术设计系 教研室环艺设计教研室 教师许灿 《模型设计与制作》课程标准 【课程名称】 模型设计与制作 【适用专业】 高等职业学校会展策划与管理(广告与会展方向)专业 一、前言 (一)课程性质 《模型设计与制作》是会展策划与管理专业(广告与会展方向、展示设计)开设的一门专业课,该课程主要包括运用各种材料进行进行会展模型制作,通过学习让学生掌握会展模型制作的过程和方法,掌握设计软件和雕刻机的使用方法,以及熟悉各种模型制作的材料。 (二)课程设计思路 本课程的总体设计思路是,打破传统学科课程以知识为主线构建知识体系的设计思路,采用以项目操作的实际工作任务为引领,通过任务整合相关知识和技能来设计该课程。 本课程的相关工作任务是通过构想、草图、效果图、制作模型等手段来展示成果,模型更是表现空间设计的直接手段。通过本课的学习掌握模型在设计活动中的作用与意义,及其制作的正确方法和过程。在实践过程中培养独立思维,提出问题和解决问题的能力。为更深入的研究所设计空间提供新的途径和构思表现的方法,为设计的推敲与完善提供技术支持。 本课程教学活动的设计,以培养学生动手操作能力为主线,从而提高学生的直观感受力及创新设计能力。 二、课程目标 在教学中通过理论与实践的训练,使学生懂得学习模型制作的作用与意义,理解并掌握模型制作的基本原理和方法,提高学生对三维空间设计的形态、知识的理解和掌握,培养学生模型制作的基本原理与三维空间表现设计的能力,继而培养学生的创新意识和审美情趣,为专业设计的学习打下扎实的基础。

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.360docs.net/doc/d19490817.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

模型制作实验报告

模型制作实验报告 1、实验目的与要求 通过本次实验练习模型制作,熟悉建筑模型材料的种类、特性,学会使用钢尺、美工刀等模型制作工具,基本掌握模型的制作技法。为将来在箭镞设计课程中使用模型推敲方案打下基础。要求根据课程设计命题,结合自身设计概念制作模型,可以有一定的取舍,不能有大的错误,制作认真仔细,整体模型干净利落。最后完成得模型要求按照自己的设计方案,体块表现清楚,有自己的风格。 2、实验方案: 结合课程设计的进度,在一草方案后制作工作模型,用于推敲建筑环境、建筑体量、材料、色彩等方面要素,学习以制作模型的形式激发创作灵感、推进方案设计。在基本明确建筑设计方案后进行模型制作设计,选用卡纸、PVC板等作为主材,适用选用色纸、瓦楞纸、型材等作为辅材,利用钢尺、美工刀、模型胶等工具制作建筑模型呈现设计方案。 3、实验过程和数据处理: 听取了专业老师的意见后,我使用了pvc板(厚度为2cm)和kt板作为这次作业的模型主要材料。Pvc板作为主模型的材料,因为其比较结实,不容易被破坏,而且表面平滑,外观看起来十分规整。而kt板则作为模型底座的材料,在kt板上容易插入模型花和粘贴模型人,但是kt板不能与502胶水接触,其会被腐蚀。所以在制作模型时,对于底座的粘合,我使用的是u胶,而pvc板的粘合我会根据需要,使用u胶和502胶水。这次制作模型需要用到的工具中,有手术刀,ut刀,直尺、90度尺、切割板u胶、502胶水等。 考虑到这次制作的模型是塑料模型,因此所需用到的工具比较少。而这次制作模型的手法,鉴于我是大一新生,在经济和知识掌握程度的限制上,我是手工制作模型的。在制作模型时,有直接粘合、镶嵌粘合和穿插的步骤。在制作模型时,我曾经遇到因为粘合位置特殊的原因,很难把两块pvc板粘合在一起或者由于柱子太长,不能轻易与pvc板粘合的问题。一开始我是使用u胶粘合的,但后来发现,原来在一些地方,可以用502胶水作粘合剂,但是值得注意的是,在使用502胶水前,应该确认是否这样粘合,一旦粘合错了,分离工作会很难,而且强制分离会破坏pvc板。另外,在制作模型是,我会发现自己设计的建筑,有些地方做起模型来,会有比较大的难度,会花比较多的时间,于是自己会在考虑是否应该对原来的设计方案进行修改,而如何修改,这又是需要慢慢去思考的,因此,在做模型的时候会发现不少的对设计有用或使你感到困惑的东西。在数据处理方面,我认为做模型对数据的处理十分有用,因为当你把设计从二维转化为三维时,你会发现,你所定的数据不适合人体的模度,对于整个场地的迎合十分不适合。当然,在处理数据时,一些建筑规范是不能忽略的,你的数据可能是不可能实现的东西。因此,在数据处理是,要遵守人体的模度、整个场地的迎合和建筑规范来进行。另外,在处理数据时,我一般时先定大范围的数据,在处理小地方的数据的。可能两方面一起处理会比较好,这我会更加留意这一点。而在数据的整理时,对于复杂的数据,我通常是结合场地的情况稍作调整,当你做出一个模型时,1:20或更大的比例模型用于观察这建筑是否适合人的模度,1:100或更小的比例模型用于观察这建筑是否迎合整理环境的。我制作了1:100和1:50的模型进行分析,最后定出了我的模型方案。

建筑模型制作实验报告

建筑模型制作实验报告 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

学生实验报告 (理工类) 课程名称:规划设计模型制作专业班级:城乡规划 学生学号:学生姓名: 所属院部:建筑工程学院指导教师:刘琰 2014——2015学年第 2 学期 金陵科技学院教务处制

实验项目名称:江宁校区总体规划模型制作实验学时:24学时 同组学生姓名: 实验地点:实验楼B203 实验日期:实验成绩: 批改教师:刘琰批改时间: 一、实验目的和要求 目的:1、学习利用规划模型分析总平面的布局 2、学习规划模型的制作方法 要求:在读懂图纸的基础上,通过对空间、功能、结构、环境、流线、体量、外观、平面到剖面、几何关系、基本形状、逻辑关系等方面进行总体分析, 理清建筑平面和空间的组成关系,理清建筑与道路的关系,最后完成规划 模型的制作。 二、实验仪器和设备 1.测绘工具 三棱尺(比例尺) 、直尺、三角板、弯尺 (角尺) 、圆规、游标卡尺、蛇尺等。 2.剪裁、切割工具 勾刀、刻刀、裁纸刀、角度刀(45o) 、切圆刀、剪刀、手锯、钢锯、电磨机、电热切割器等。 3.打磨喷绘工具 砂纸、锉刀、什锦锉、木工刨、台式砂轮机。 4.粘合剂 三、实验过程

第一次模型制作实验课在工科楼模型教室,之前老师在多媒体教室跟我们讲解了模型制作的工具,材料等基本知识,发任务书。 这一次在模型教室老师带我们参观了一下往届做的模型,看到学姐学长的作品时,感觉有点震惊,稍微有点不自信,但是在我们仔细参观与讨论我们自己组用的材料与制作流程后,我立马又斗志昂扬了起来。参观完往届作品后,我们确定小组成员,小组开始确定制作模型所需的材料,大致分配了任务,男生做模型,女生做细节部分。我们组的组员经过积极热烈的讨论,初步确定了地形,草,建筑的材料,地形采用灰色纸板,草为普通草皮,多数建筑为PVC板为骨架,少部分为泡沫,同时大概制定了制作流程与方案。 方案确定后,我们小组成员在第二天就全部出发去购买制作模型所需的材料,我们按着讨论后的清单购买,包括灰色的卡纸、厚泡沫板、薄木板、PVC板、树粉、树干,草皮,胶水等一系列材料。 感悟:在此次购买中,我们小组有着很激烈的讨论,虽然在昨天已确定好清单,但是到了店里发现我们考虑的还是不够周全。 第二次模型制作实验课我们通力合作,用木板做底将买来的厚泡沫板做第二层底,上面再铺一层厚的PVC板,层与层之间用双面胶与泡沫胶粘合。其实我们在黏板的事先并没想好用什么黏,我们是在仔细观察了其他的组用的粘合材料后经过比较后讨论决定的,这也算取长补短了。我们一边黏一边试试粘合的效果,感觉比较结实。然后用复写纸将打印好的cad 地形描到买好的灰色卡纸上,而我则负责将地形上的绿地剪出来,作为之后剪草皮的模板。这是一件费时费力的工作,因为老师给我们的学校地形

(完整版)计算机组成原理习题答案(蒋本珊)

第一章 1.电子数字计算机和电子模拟计算机的区别在哪里? 解:电子数字计算机中处理的信息是在时间上离散的数字量,运算的过程是不连续的;电子模拟计算机中处理的信息是连续变化的物理量,运算的过程是连续的。 2.冯·诺依曼计算机的特点是什么?其中最主要的一点是什么? 解:冯·诺依曼计算机的特点如下: ①计算机(指硬件)应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成; ②计算机内部采用二进制来表示指令和数据; ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作。 第③点是最主要的一点。 3.计算机的硬件是由哪些部件组成的?它们各有哪些功能? 解:计算机的硬件应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成。它们各自的功能是: ①输入设备:把人们编好的程序和原始数据送到计算机中去,并且将它们转换成计算机内部所能识别和接受的信息方式。 ②输出设备:将计算机的处理结果以人或其他设备所能接受的形式送出计算机。 ③存储器:用来存放程序和数据。 ④运算器:对信息进行处理和运算。

⑤控制器:按照人们预先确定的操作步骤,控制整个计算机的各部件有条不紊地自动工作。 4.什么叫总线?简述单总线结构的特点。 解:总线是一组能为多个部件服务的公共信息传送线路,它能分时地发送与接收各部件的信息。单总线结构即各大部件都连接在单一的一组总线上,这个总线被称为系统总线。CPU 与主存、CPU 与外设之间可以直接进行信息交换,主存与外设、外设与外设之间也可以直接进行信息交换,而无须经过CPU 的干预。 5.简单描述计算机的层次结构,说明各层次的主要特点。 解:现代计算机系统是一个硬件与软件组成的综合体,可以把它看成是按功能划分的多级层次结构。 第0级为硬件组成的实体。 第1级是微程序级。这级的机器语言是微指令集,程序员用微指令编写的微程序一般是直接由硬件执行的。 第2级是传统机器级。这级的机器语言是该机的指令集,程序员用机器指令编写的程序可以由微程序进行解释。 第3级是操作系统级。从操作系统的基本功能来看,一方面它要直接管理传统机器中的软硬件资源,另一方面它又是传统机器的延伸。第4级是汇编语言级。这级的机器语言是汇编语言,完成汇编语言翻译的程序叫做汇编程序。 第5级是高级语言级。这级的机器语言就是各种高级语言,通常用编译程序来完成高级语言翻译的工作。

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

模型制作实训报告

中国某某学院实践报告评审表 实践项目名称 模型制作实训 本次实践学分 1 实践单位或地点校内B-306 起止时间2014年12月29日至2015年1月5日 指导 教师 评语 成绩指导教师(签章): 年月日实践单位意见(签章): 年月日教研 室审 核意 见 审核人(签名) 年月日系部 意见 验收人(签章) 年月日 注: 本表按专业班级填报;由系部自行汇总存档备查。中国某某学院 实践报告 实践类型:(实验、实训、实习、顶岗实习等)系部:88888系二〇_88 级 专业:工业设计班级:8 学生姓名:某某学号:888888888 教务处编制 2015年1 月5 日

实践报告 实践,就是把我们在学校所学的理论知识,运用到客观实际中去,使自己所学的理论知识有用武之地。只学不实践,那么所学的就等于零。理论应该与实践相结合。另一方面,实践可为以后找工作打基础。要学会从实践中学习,从学习中实践。 经过大学2年多的学习,已经初步掌握了一个产品从想法变成现实的方法,这个学期的实训是机械制造实训,利用3D打印机把自己事先设计好的产品模型通过3D打印机把它打印出来,并且打印出来后通过砂纸打磨,502和喷砂材料对产品进行表面的光滑处理,最后在进行色彩的喷绘,使产品变得更加真实具有质感。 1.首先从想法到到Proe的建模; 2.然后在Proe软件里进行格式的转换,使产品模型的格式变成3D打印机可以支持的STL格式; 3.并且把打印机组合安装后连接上电脑,然后在电脑上安装打印机的软件,通过打印软件把转换好的模型STL格式导入到软件中; 4.为了使模型的大小可以在打印机里打印,更加快速的打印出来,所以得对模型进行缩放;

计算机组成原理,指令系统,练习题

单项选择题 1 在CPU执行指令的过程中,指令的地址由___B__给出。 A 程序计数器PC B 指令的地址码字段 C 操作系统 D 程序员 2 下列关于指令的功能及分类叙述正确的是__B___。 A 算术与逻辑运算指令,通常完成算术运算或逻辑运算,都需要两个数据 B 移位操作指令,通常用于把指定的两个操作数左移或右移一位 C 转移指令,子程序调用与返回指令,用于解决数据调用次序的需要 D 特权指令,通常仅用于系统软件,这类指令一般不提供给用户 3 零地址的运算类指令在指令格式中不给出操作数的地址,参加的两个操作数来自__C__。A累加器和寄存器 B 累加器和暂存器 C 堆栈的栈顶和次栈顶单元 D 堆栈的栈顶单元和暂存器 4 下列一地址运算类指令的叙述中,正确的是_____。 A 仅有一个操作数,其地址由指令的地址码提供 B 可能有一个操作数,也可能有两个操作数 C 一定有两个操作数,其中一个操作数是隐含的 D 指令的地址码字段存放的一定是操作码 5 关于二地址指令一下论述正确的是_____。 A 二地址指令中,运算结果通常存放在其中一个地址码所提供的地址中 B二地址指令中,指令的地址码字段存放的一定是操作数 C二地址指令中,指令的地址码字段存放的一定是寄存器号 D二地址指令中,指令的地址码字段存放的一定是操作数地址 6 单字长四地址指令OP A1、A2、A3、A4的功能为(A1)OP(A2)→A3,且A4给出下一条指令地址,假设A1、A2、A3、A4都为主存储器地址,则完成上述指令需访存_____。 A 1 B 2 C 3 D 4 7 在指令格式设计中,采用扩展操作码的目的是_____。 A 增加指令长度 B 增加地址码数量NN C 增加指令数量 D 增加寻址空间 8 某机器的指令字长为16位,有8个通用寄存器,有8种寻址方式,单操作数指令最多有_____个,双操作数指令最多有_____个。 A 1024 16 B 2048 32 C 256 64 D 1024 32 9 指令寻址方式有顺序和跳跃两种,采用跳跃寻址方式可以实现_____。 A 程序浮动 B 程序的无条件浮动和条件浮动 C程序的无条件转移和条件转移 D 程序的调用 10 某机器指令字长为16位,主存按字节编址,取指令时,每取一个字节PC自动加1,。当前指令地址为2000H,指令内容为相对寻址的无条件转移指令,指令中的形式地址D=40H。那么取指令后及指令执行后PC内容为_____。 A 2000H,2042H B 2002H,2040H C 2002H,2042H D 2000H,2040H 11 指令系统中采用不同的寻址方式的目的主要_____。 A 可降低指令译码难度 B 缩短指令字长,扩大寻址空间,提高编程灵活性

内训师如何做好课程设计开发

内训师如何做好课程设计开发 一、从内训师模型来讲,一个优秀的课程必须要具备三个特点, 1.内容易懂 课程的内容易懂,就是让学员听起来能够接受,这是课程设计必须要遵循的一个原则。 2.结构简单 课程的结构简单,指的是课程的整体结构应当简洁、清晰,让学员能够一目了然、容易理解。 3.呈现优雅 课程呈现优雅,就是要让学员听起来感到赏心悦目。 二、学员最易接受的课程结构 三大课程结构:精彩而吸引人的“虎头”、漂亮修长的“龙身”和干净利落的“豹尾”。 1.课程开场设计——虎头 虎头即课程的开场设计主要包括以下内容,如表1所示。 2.课程精华讲解——龙身 作为课程的精华讲解部分,内训师在设计“龙身”时应当注意以下几点:

(1)使听众对课程内容有一个全局性的了解 (2)就要适当地引入一些案例 (3)逻辑清晰,结构明了 (4)讲授的信息点尽量少 流程法和要素法 流程法。内训师在授课时通常都有一定的流程,如先讲解,中间做游戏和互动,最后总结。 要素法。要素法是指课程要具备三个关键要素,即内容易懂、结构简单、呈现优雅。 课程的龙身部分要想设计得非常精彩,必须具备四个元素: 一是理论知识点; 二是案例; 三是图表和工具; 四是教学视频。 3.课程结尾收场——豹尾 课程结尾的收场就是豹尾,豹尾有以下特点: 简洁明快、干净利落 豹尾要简洁明快、干净利落。需注意的是,结尾虽然力求简单,但要有内容。 在课程结尾时,内训师一定要用一个案例进行说明,且所使用的案例一定要非常经典和精彩。 三、如何讲好课程中的“龙身”部分 龙身是整个课程最为重要的主体部分,包含三部分内容,如表2所示:

四、课程讲解要素比例分析 课程的讲解主要由呈现、声音表达和内容三大结构组成 1.呈现 所谓呈现,指的是所讲授的东西,包括知识观点、案例、图表、素材、视频等元素。呈现在课程讲解中所占比例达50%。 2.声音表达 声音表达是课程讲解的另一个要素,占38%的比例。 要拥有一个有魅力的嗓音 要掌握正确的发声方法 要有自己的标准口头禅 3.内容 内容即理论内容,在课程讲解中只占7%。 五、关注课程信息句的使用 在授课过程中,内训师必须关注课程信息句的使用,具体来说包括: 1.告诉学员你对主题的观点 2.告诉学员这是最核心的部分,是整个演讲(授课)的魂 3.强化信任关系,确保不偏离主题,确保散的东西有一个统一点 4.一个信息句就像一个简短的宣言,使学员立刻了解你所讲述的观 点 5.从结论开始,金字塔思维 六、应用“强主线”设计课程

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

模型实训心得体会

模型实训心得体会 篇一:模型制作实习心得总结 模型制作实习心得总结 实习时间:— 实习地点:建筑模型制作教室 实习目的: 这次模型制作课程实习的主要目的是,通过动手操作方式来加强我们学生对空间的认识以及模型的制作能力,锻炼到我们做事情的耐性与细心,理解模型制作在设计中的重要性,进而掌握模型制作的基本工具、方法和过程,锻炼手的实践能力,完善设计知识和设计实践能力以及团队合作能力。实习过程: 此次实习,我们分两大组,大组又分四小组,每小组四人,分工明确,尽可能的发挥各自的特长,为我们的团队出一份力。此次我们做的是概念性的山体模型。为使两组有区别,所使材料颜色也有所不同,一组山体使用白色kt板,建筑则使用abs板喷灰漆,另一组颜色恰恰相反,使用厚纸箱喷灰漆,然后建筑则是白色abs板,配景与建筑相呼应。此次我们做的模型是后者。 前期我们准备绿植配景以及准备模型制作所需材料、工具(纸箱、模型刀、胶水、尺子、剪刀、喷漆、颜料、双面胶、砂纸等材料)。每人准备纸箱(作为山体)还有树杈(作

为山地配景,校内寻找树杈老师筛选小组成员打磨喷漆)。然后每小组两两分工,一半负责模型尺寸并用cad表达出来,另一半则负责修剪打磨泡沫圆球作为配景。由于我们负责山体,工程量较大,于是同学们合力按照尺寸把纸箱裁成不规则的形状(堆积成山体)打磨,还有负责建筑的同学按照比例算好尺寸,通过这样的合理分工,我们的前期工作快速顺利的完成。接下来就是我们的重要环节了。 中期工作也就是我们的模型制作过程了,从前期到现在,我们队的同学对待自己负责的任务都特别的认真、细心。模型制作开始了,我们再次的讨论、分配任务。负责建筑的同学利用kt板abs板在雕刻机上按照尺寸雕刻出来,然后合力粘接。我们组负责山体,先是在底盘上比划筛选然后利用厚双面胶粘接,初步形成山体,然后喷上灰色喷漆,由于喷上灰漆后效果不是很理想,于是又经过探讨老师指导,决定在山体刷胶粘结上绿色的草坪,中途虽说有一些失误,但经过老师的指导整体效果完成得很好。 前期和中期完成得很好,后期我们主要负责把建筑在山体上放到合适的位置在山体增加配景,调整建筑,这个过程很快完成。 实习体会: 首先,通过这学期的模型课,我感觉受益匪浅,最基本的,我对一些常用的模型制作材料的特性和加工工艺有了了

纸模课程设计

一、小学模型制作的意义、特点与内容 1.小学生模型活动和小制作的意义 (1)有利于激发学生立志为我国的航空、航海、车辆及科技事业的发展作贡献我们的祖先在航空、航海、车辆等方面的发明和创造,对人类世界作出过巨大的贡献。 在航空领域,我们的祖先制作出了种类繁多的风筝、竹晴蜒、孔明灯和木鸟模型。它们在飞机发明的过程中起了重要的作用。 在航海领域,我们的祖先创造了人类历史上最原始的船——独木舟。明代伟大的航海家郑和率领庞大船队七下西洋,无论在船只数和人数上,都超过了哥伦布船队和麦哲伦船队,成为世界航海史上的一大创举。我国四大发明之一的指南针应用于航海领域,成为世界航海史上划时代的事件。 在车辆方面,我国是世界上制造和使用车辆最早的国家,相传在5000年前就开始使用战车。指南车和记里鼓车以它们复杂的机械结构,占据了车辆发展史上的一个重要地位。 但是,我国在航空、航海、车辆等方面同工业发达的国家相比,还有不少差距。开展航空、航海、车辆和小制作活动,可以使小学生了解我国航空、航海、车辆发展的历史和现状,激发学生从小立志献身于祖国的航空、航海、车辆事业,为四化建设作出贡献。 (2)有利于开拓小学生的视野,把学到的知识运用到实际中去 模型活动和小制作,需要运用许多科学知识,涉及到小学自然常识中的许多问题。如要了解飞机的飞行,就要运用空气方面的知识,航海要运用海洋知识等等。同时还要用到许多其他学科的知识。通过模型活动和小制作,使已经学过的知识得到加深和理解,对于还没有学习到的知识,会引起小学生强烈的求知欲,扩大视野,为今后的学习打下良好的基础。 (3)有利于培养小学生各种能力,发展智力 模型活动和小制作内容十分丰富。在活动中不但能学到许多知识,而且能培养学生的各种能力。通过参观访问,可以引导学生认真观察、勤于思考、仔细分析,培养观察能力和思维能力。通过设计制作,可以启发学生结合运用所学知识、勇于实践,培养创造能力和动手能力。通过开展竞赛,可以吸引学生参加组织工作,提高社会活动能力等等。同时,经过一定的制作实践,可以鼓励小学生自己设计,自己收集资料,独立完成制作,以培养创造型人才。 二、小学生模型活动和小制作的特点 (1)多样性 模型活动和小制作种类繁多。从构造上看,有纸木结构的简单模型和小制作,也有要用几千个零件、制作要求较高的无线电遥控模型;从性能上看,有只能观赏的实体模型,有只能运动短距离、几秒钟的模型,也有运动长距离、几十小时的模型。模型活动和小制作的多样性,为不同年龄的个人、不同条件的学校提供了广泛的选择余地。 (2)趣味性 不同种类的模型和小制作,有不同的性能,制作出来的飞机能飞、轮船能开、汽车能跑、小制作能动会亮,这就使得模型活动和小制作趣味无穷。通过制作和调整,使模型和小制作的性能不断提高,更对学生具有强烈的吸引力。 (3)实践性 模型活动和小制作最明显的特点就是实践性强。任何一件模型或小制作,都要通过学生的亲自制作、装配、亲自检查、调试才能完成。做这些工作,又需要开动脑筋,手脚勤快,从而有利于培养学生的独立工作能力。 (4)探索性 在制作模型活动和小制作时,重复工作较少,即使重新制作,也要求比上一次制作得更好,这就需要不断改进工艺。制作好的模型和小制作,还需要进行不断调试,以达到最佳状

计算机组成原理 基本模型机实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四基本模型机实验 班级: 学号: 姓名:

1、目的与要求 1)在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。 2)本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。2、实验设备 1) ZYE1601B计算机组成原理教学实验箱一台,排线若干。 2) PC机一台。 3、实验步骤与源程序 l) 根据该模型机的指令系统,编写一段程序。这里给出两个参考程序。 参考程序一: 本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0 01 20 STA [08H] ;R0→[08] 02 08 ;地址 03 30 OUT [08H] ;[08H]→BUS 04 08 ;地址 05 40 JMP [00H] ;00H→PC 06 00 ;跳转地址 参考程序二: 本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED 数码管)进行显示。本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0,采集数据 01 10 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加 02 0A ;地址 03 20 STA [0BH] ;R0→[0B] 04 0B ;地址 05 30 OUT [0BH] ;[0BH]→BUS,输出显示 06 0B ;地址 07 40 JMP [00H] ;00H→PC 08 00 ;跳转地址 0A 01 ;加数,可自定 0B ;求和结果保存在0B单元 2) 按图1连接实验线路。 3) 写程序: 对于本实验箱可以用两种方法来写入程序。 方法一:手动写入 (1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

计算机组成原理设计(十条指令)

1 关于此次课程设计 1.1 课程设计目的 本课程设计是计算机科学与技术专业重要的实践性教学环节之一,是在学生学习完《计算机组成原理》课程后进行的一次全面的综合设计。目的是通过一个完整的8位指令系统结构(ISA)的设计和实现,加深对计算机组成原理课程内容的理解,建立起整机系统的概念,掌握计算机设计的基本方法,培养学生科学的工作作风和分析、解决实际问题的工作能力。 1.2课程设计内容及要求 基于TDN-CM++计算机组成原理实验教学系统,设计和实现一个8位指令系统结构(ISA),通过调试和运行,使设计的计算机系统能够完成指定的功能。 设计过程中要求考虑到以下各方面的问题: (1)指令系统风格(寄存器-寄存器,寄存器-存储器,存储器-存储器); (2)数据类型(无符号数,有符号数,整型,浮点型); (3)存储器划分(指令,数据); (4)寻址方式(立即数寻址,寄存器寻址,直接寻址等); (5)指令格式(单字节,双字节,多字节); (6)指令功能类别(算术/逻辑运算,存储器访问,寄存器操作,程序流控制,输入/输出)。 要求学生综合运用计算机组成原理、数字逻辑和汇编语言等相关课程的知识,理解和熟悉计算机系统的组成原理,掌握计算机主要功能部件的工作原理和设计方法,掌握指令系统结构设计的一般方法,掌握并运用微程序设计(Microprogramming)思想,在设计过程中能够发现、分析和解决各种问题,自行设计自己的指令系统结构(ISA)。

2 分析阶段 2.1 微指令格式分析 微指令格式如下表: 表2-1 微代码定义 (1)字段24~19控制运算器的控制端,通过改变S3~CN来决定对数据进行何种算术或逻辑运算。本设计中全部为正逻辑运算。 (2)字段18为控制对主存W/R的开关 Y1、Y2进行选择。 (4)字段15~7为A、B、C三个开关控制端。

相关文档
最新文档