2009数字幅频均衡功率放大器_赵碧杉等

2009数字幅频均衡功率放大器_赵碧杉等
2009数字幅频均衡功率放大器_赵碧杉等

数字幅频均衡功率放大器

摘要:系统以单片机和FPGA为控制核心,设计了一个684阶FIR数字滤波器,实现了经过带阻网络衰变后信号的幅频均衡。经测试,均衡处理后通频带内信号电压幅度波动小于±1.2dB。系统输出采用分立的大功率MOS晶体管搭建甲乙类功放,经测试,8Ω负载上最大不失真输出功率为14.5W,效率可达64%。此外,系统基于直接数字频率合成技术制作了扫频仪,通过数字峰检的方法,获得带阻网络的幅频响应特性,作为FIR滤波器的设计参考。同时,可在液晶显示屏上显示带阻网络的幅频特性曲线。

关键字:幅频均衡FIR数字滤波器甲乙类功放扫频

一、系统方案设计

1.数字幅频均衡方案

均衡器用于实现对带阻网络频率特性的补偿,以获得平坦的幅频响应。

方案一:采用自适应滤波器。它以最小均方误差为准则,根据输入信号的改变,通过滤波器输出信号与参考信号之间的误差,自动调整滤波器的系数,以达到时变最佳滤波器,适合于未知信号或非平稳信号的处理。

方案二:采用无限冲激响应滤波器(IIR)。IIR滤波器设计简单,实现的阶数较低。但它具有非线性相位,且由于其为反馈型结构(即传递函数存在极点),对滤波器参数的精度要求较高,否则可能引起振荡或发散。

方案三:采用有限冲激响应滤波器(FIR)。FIR滤波器采用非递归结构,可以得到严格的线性相位,运算误差较小。且传递函数不存在极点,稳定性好。但与IIR滤波器相比,相同条件下需要的阶数更高,导致延迟时间较长。

由于本系统对固定网络进行幅频均衡,方案一的优势无法体现。鉴于FPGA 具有快速的数据处理能力,为保证系统的稳定性,选取方案三。

2.功率放大方案

方案一:甲类功率放大器。甲类功放非线性失真小,但效率低,理想情况下的最大效率为50%,不能满足题目要求。

方案二:乙类功率放大器。互补推挽输出可解决效率与失真的矛盾,理想情况下的最大效率为78.5%。但存在交越失真,且实际应用时效率比理论值低得多。

方案三:甲乙类功率放大器。它兼有甲类失真小和乙类效率高的优点,且准互补推挽输出可以克服交越失真。

为同时满足题目对功放效率、输出功率与通频带的要求,选取方案三。

3.系统总体方案

系统主要由前置放大模块、带阻网络、数字幅频均衡模块、低频功放模块和幅频特性测试仪五部分组成。

图1 系统实现框图

前置放大模块由高增益放大器与带通滤波器组成,实现增益为500倍的放大以及-1dB通频带为20Hz~20kHz的滤波。

带阻网络用于对前置放大电路输出信号进行滤波,其最大衰减量达到10.6dB。

数字幅频均衡模块由A/D采样、FIR滤波器、D/A转换与低通滤波器组成,用于采集经过带阻网络衰减后的信号,用FIR滤波器对采集数据进行滤波,通过D/A转化与低通滤波后,输出均衡处理后的波形。

低频功放模块由带通滤波器、预放大与功率放大电路组成,对数字均衡后的输出信号进行功率放大。

此外,系统还增加了带阻网络幅频特性的测量与显示功能。其实现方法为:

采取复用ADC与DAC的方式,基于直接数字频率合成原理输出扫频信号,通过采样量化与数字峰值检波,测量经过网络后每个频点的峰峰值。即可获得带阻网络的频率特性,进而利用MATLAB工具设计出FIR滤波器的系数。同时,带阻网络的频率特性曲线可在液晶屏上显示出来。

二、理论分析与计算

1.前置放大电路设计

根据题目最小放大400倍的指标要求,同时综合考虑系统采用的ADC芯片的输入信号幅度范围为±10V,我们设定增益为500,以保证A/D采样具有最佳精度。由于输入正弦信号有效值小于10mV,则放大电路输出信号最大峰峰值为14.14V,满足ADC的输入幅值范围。

本设计中放大器的增益较大、处理频段较低,所以应选取适合的运放,影响输出效果的主要参数有运放增益带宽积、噪声电压密度、输入失调电压等。

为实现500倍增益的放大,采用两级运放级联。第一级选取低噪声、高精度

运放OPA228,其噪声电压密度为3/

nV,带宽为33MHz。由于其为电压反馈型运放,增益增大时频宽变窄,用它来构建小信号的高增益放大器,可以较好地抑制高频噪声,设置增益为250。第二级选取高精度运放OPA602,其最大输入失调电压为250uV,带宽为6.5MHz,实现增益为2的放大。电路均采用同相放大接法,其输入阻抗高的特性有利于小信号的提取。电路图如图2所示。

图2 前置放大电路图

2.功率放大电路设计

图3 功率放大电路图

功率放大器如果要输出较大的功率,晶体管必须大振幅地工作,因此一般要

工作在其非线性特征区域。一般来说晶体管电路高效率的同时,失真率也明显增大。因此要在效率与失真率之间取最合适的工作点。乙类功放效率高,但集电极电流只流通半周期,会产生波形失真,在低频信号功率放大器的应用中,为了减少波形失真,此电路常被做成推挽式放大器来使用。甲乙类集电极电流的流通大于半个周期小于一个周期,为了减少失真,将工作点提高一点。通过对晶体管加入一定的偏置,可以克服乙类功放产生的交越失真。

电路图如图3所示。前级以AD844为核心组成增益约为3.5倍的预放大电路,后级由NMOS 管与PMOS 管组成互补推挽输出,通过调节电位器R4和R5为晶体管提供一个适当的偏置电压,可避免交越失真。

另外,为了提高功放效率,我们采用散热片对晶体管进行散热与保护。 3. 数字幅频均衡电路设计 (1) A/D 采样电路设计

采集信号的频率范围为20Hz~20kHz ,为防止频谱混叠,采样速率应大于奈奎斯特频率。若采样速率太低,一个周期内采集点数太少,波形输出时会存在较大失真;若采样速率太高,相同条件下所需滤波器的阶数更高,增加了滤波器的空间和时间复杂度。最终我们设定采样频率为最高频率分量的4倍,即80kHz 。

为了减小量化噪声对系统的影响,应选择位数高、精度高的ADC 。选取高精度16位并行A/D 转换器ADS8505,其最高采样速率为200ksps ,输入电压范围为±10V ,无杂散动态范围高达105dB 。具体电路图见附录中图9。 (2) D/A 转换电路设计

由于通频带内输出波形的电压幅度波动在±1.5dB 以内,应选择位数高、精度高的DAC 。选用高速、14位的DAC904,其最高更新速率达165Msps ,功耗低至170mW 。

由于采样频率为80kHz ,对于频率为20kHz 的信号一个周期只能采集4个点,为保证输出波形不失真,后级需接平滑滤波器。鉴于开关电容滤波器具有陡峭的衰减特性,选用8阶低通椭圆滤波器MAX297,设定截止频率为20.6kHz ,能较好地滤除高频噪声。具体电路图见附录中图10。 (3) FPGA 内部FIR 滤波器电路设计

FIR 滤波器的差分方程表达式为:

1

[][]*[][][]N m y n x n h n x n m h m -===

-∑

式中,y[n]和x[n]分别表示输出和输入序列,h[m]是滤波器系数,N 是滤波器阶数。由直接型结构图可见,N 阶FIR 滤波器要用N+1个系数表示,通常需要用N+1个乘法器和N 个加法器来实现,即在FPGA 内需完成相应的乘加运算。

并行FIR 滤波器具有速度快、易于设计的特点,但滤波器阶数较高时需要占用大量的资源,采用串行优化算法可减少资源占用量。

图4 直接型FIR 滤波器结构图

4. 数字处理算法设计

(1)线性均衡的数字滤波器设计

常用于均衡的线性滤波器是一个横向滤波器,它由若干个抽头延迟线组成。可设计一个FIR 数字带通滤波器,使通频带内信号经过带阻网络与数字幅频均衡后,获得平坦的幅频响应。

数字滤波器的设计过程为: ①测量带阻网络的幅频特性,得出所需FIR 滤波器的频率响应。 ②根据FIR 滤波器的频响特性,利用Matlab 计算出滤波器系数。 ③通过Matlab 仿真,验证所设计的系统是否符合题目要求。 (2)FIR 滤波器的频率特性

为了获得较好的结果,应根据带阻网络的实测频响来设计数字滤波器。需要得到fs/2范围内的频响特性(fs 为采样速率即80kHz ),故由DDS 模块产生扫频信号,频率范围为20Hz~40kHz 以20Hz 步进。扫频信号经过前级放大与带阻网络后,通过A/D 采样与数字峰值检波,测出各频点的峰峰值,即可得到模拟部分的实际频率响应1()H ω,则FIR 滤波器的频率响应21()1/()H H ωω=。利用Matlab 绘制两者的频率响应曲线,观察图5与图6可知,两者具有相反的频率响应特性。

图5 带阻滤波器频率响应曲线 图6 带通滤波器频率响应曲线

(3)FIR 滤波器系数与阶数设计

根据FIR 滤波器的频率特性,利用MATLAB 软件可以设计出滤波器系数。 我们采用切比雪夫逼近法(又称为等波纹逼近法),它基于最大误差最小准则,通过Park-McClellan 算法,利用已知滤波器的特性,计算出所需滤波器的系数。在MATLAB 中,调用remez 函数即可实现。

滤波器阶数越高逼近误差越小,但阶数太高会占用过多的资源开销和时间开销。综合考虑FPGA 的系统资源、运算时间与逼近误差,我们设置FIR 滤波器的阶数为684阶。

(4)MATLAB 仿真

得到滤波器系数后,利用MATLAB 绘制其幅频响应曲线h1,同时绘制带阻滤波器的频率响应曲线h2(dB 表示)。将两者相加,可得到两滤波器级联后的频率响应。仿真图见附录中图15,由图可知,输出信号电压波动在±0.5dB 范围内,设计符合系统要求。

三、总体电路设计

系统电路主要由前级信号调理与波形采集模块、波形输出与后级功放模块组成。总体电路框图如图7所示,图中包含核心芯片以及部分电路特性。

图7 总体电路框图四、程序设计

系统软件主要有两种工作模式: 1.测量模式,用于计算所需数字

滤波器的系数。实现过程:DDS 模

块产生扫频信号,通过A/D 采样与数字峰值检波获得带阻网络的幅频特性,作为Matlab 设计FIR 滤波器的

参考。同时,可在液晶屏上显示幅频响应特性曲线。

2.工作模式,对当前波形进行数字幅频均衡处理。实现过程:对经过带阻网络的信号采样量化,经过FIR

数字滤波器处理后,由D/A 转换输出波形。 图8 程序流程图

五、测试方案与测量结果

1. 测试仪器

直流稳压稳流电源:型号SG1733SB3A 数字信号源:型号Agilent 33120A

60M 数字存储示波器:型号Tektronix TDS1002 万用表:型号Fluke 17B 2. 测试方案与测试结果 (1)前置放大电路测试

①放大倍数测试。由信号源输入有效值V rms 为5mV 、频率为10kHz 的正弦信号,用示波器测量前置放大电路的输出电压峰峰值V o ,计算其放大倍数G 。 结论:

V o=7.04V ,则/)498400o rms G V ==>。

②通频带测试。设定输入信号为有效值5mV 的正弦信号,在频率范围15Hz~22kHz 的范围内抽取足够多的测试点,进行-1dB

通频带的测试。

③输出电阻测试。根据电阻分压原理,在放大电路输出端接入一300Ω电阻

2.工作模式流程图1.测量模式流程图

到地,测量信号源输出电压与经过输出电阻的输出电压,根据电压比值,即可计算出输出电阻阻值。经测量输出电阻为:600Ω。具体测试电路见附录中图16。 (2)带阻网络最大衰减测试

设定输入信号为有效值5mV 的正弦信号,在频率范围为20Hz~20KHz 内抽取足够多的测试点,以10kHz 时输出信号电压幅度为基准,测量其最大衰减。

(3)数字幅频均衡电路测试

①输入电阻测试。根据电阻分压原理,在信号源与带阻网络输出之间接入一300Ω电阻,测量信号源输出电压与经过该电阻分压后的输出电压,由电压比值可计算出输入电阻阻值。经测量输入电阻为:600Ω。具体电路见附录中图17。

②通频带电压幅度波动测试

设定输入信号为有效值5mV 的正弦信号,在频率范围20Hz~20kHz 的范围内抽取足够多的测试点,进行电压幅度波动的测试。

(4) 功率放大电路测试

①无失真输出功率测试。设定输入信号为有效值5mV ,频率范围为20Hz~20kHz 的正弦信号,在R L =8Ω负载上用示波器测量输出电压峰峰值V o ,利用公式2/8o o L P V R ,计算出输出功率。具体数据见附录中表9。经测量,无失真输出功率不小于10W 。最大输出功率达到14.5W 。

②通频带测试。设定输入信号为有效值5mV 的正弦信号,在频率范围1Hz~30kHz 的范围内抽取足够多的测试点,进行-3dB 通频带的测试。

③功率放大电路效率测试。设定输入信号为有效值5mV 、频率10kHz 的正弦信号,用示波器和万用表分别测量功率放大电路输出电流和电压,与其直流电源供给电流和电压,根据两者功率比值,从而计算出电路效率。

系统采用FIR 数字滤波器与甲乙类功放,实现了数字幅频均衡功率放大器。通频带内电压幅度波动在±1.2dB 以内,最大无失真输出功率达14.5W ,效率达64%。误差的主要来源有A/D 采样的量化误差、放大器的非线性误差、有限字长效应等。我们选取低噪声、高精度的器件,并采取一系列抗干扰措施以提高数字处理精度与波形输出质量。此外,利用MATLAB 进行参数设计,在FPGA 内实现FIR 数字滤波器,具有精度高、处理速度快、性价比高等特点。

附录

附录Ⅰ题目完成情况

附录Ⅱ电路原理图

1.A/D采样电路图

图9 A/D采样电路图

2.D/A转换电路图

图10 D/A转换电路图3.-1dB通频带为20Hz~20kHz带通滤波器电路图

图11 带通滤波器电路图

4.-3dB通频带为20Hz~20kHz带通滤波器电路图

图12 带通滤波器电路图5.低通平滑滤波器电路图

图13 低通平滑滤波器电路图

附录Ⅲ Matlab仿真图

图14 带通与带阻滤波器频率特性曲线图15 输出电压幅值波动曲线

附录Ⅳ详细测试数据

1.前置放大电路测试数据

①通频带测试数据

频率(Hz)15 20 50 100 500 1k 2k

输出幅度(V) 5.24 6.32 7.12 7.14 7.20 7.16 7.16 频率(Hz)5k 8k 10k 15k 18k 20k 21k 输出幅度(V)7.16 7.12 7.04 6.84 6.60 6.24 6.12

到地,测量信号源输出端A电压与经过输出电阻后的输出端B电压,根据电压比值,即可计算出输出电阻阻值。经测量输出电阻为:600Ω。

图16 输出电阻测试网络

2.带阻网络最大衰减测试数据

频率(Hz)20 50 100 200 300 400 600 输出幅度(V) 2.80 2.28 1.50 0.950 0.768 0.696 0.664 频率(Hz)800 1k 2k 5k 10k 15k 20k 输出幅度(V)0.648 0.640 0.784 1.35 2.16 2.56 2.60

①输入电阻测试。根据电阻分压原理,在信号源与带阻网络输出之间接入一。。Ω电阻,测量信号源输出端A电压与经过该电阻分压后的输出电压,根据

电压比值,即可计算出输入电阻阻值。经测量输入电阻为:600Ω。

图17 输入电阻测试网络

②通频带电压幅度波动测试数据

表8 数字幅频均衡电路通频带电压幅度波动测试

①无失真输出功率测试数据

附录Ⅴ重要的源程序

部分系统源程序代码:

always @(posedge clk or negedge n_rst)

begin

if(!n_rst)

begin

RC<=1'b1;

ad_over<=1'b1;

cc_max<=1'b1;

count<=4'd0;

cc<=10'd0;

clr<=1'b0;

choose<=1'b1;

max<=16'h8000;

min<=16'h7fff;

FF<=1'b0;

state1<=S0;

end

else

case(next_state)

S0: ;

S1:begin

if(!FF) get_a_data;

else ;

end

S2:begin

FF<=1'b0;

end

S3:begin

if(!FF) get_a_data;

else ;

end

S4:begin

FF<=1'b0;

if(data_reg>max) max<=data_reg;

else if(data_reg

else begin max<=max;min<=min;end

end

S5:begin

if(cc<10'd600)

begin

cc<=cc+1'b1;

cc_max<=1'b1;

end

else

begin

cc<=10'd0;

cc_max<=1'b0;

vpp1<=max-min;

choose<=1'b0;

end

end

S6:begin

max<=16'h8000;

min<=16'h7fff;//---把最大值和最小值从头置位

end

S7:begin

if(!FF) get_a_data;

else ;

end

S8:begin

FF<=1'b0;

if(data_reg>max) max<=data_reg;

else if(data_reg

else begin max<=max;min<=min;end

end

S9:begin

if(cc<10'd600)

begin

cc<=cc+1'b1;

cc_max<=1'b1;

end

else

begin

cc<=10'd0;

cc_max<=1'b0;

vpp2<=max-min;

end

end

S10:begin

if(vpp1>vpp2) vpp2<=vpp1;//---vpp2保存峰峰值

else vpp2<=vpp2;

end

S15:ad_over<=1'b0;

default: ;

endcase

end

附录Ⅵ参考文献

【1】Sanjit K.Mitra.数字信号处理——基于计算机的方法(第三版).北京:电子工业出版社.2008年1月.

【2】刘在爽.高阶FIR滤波器面向FPGA的多种实现方法.《中国有限电视》2008年第02期:164-168.

【3】John G.Proakis.数字通信(第三版).北京:电子工业出版社.2001年4月. 【4】郑君里.信号与系统.北京:高等教育出版社.2007年12月.

【5】邹鲲.MATLAB6.x信号处理.北京:清华大学出版社.2002年5月.

【6】董尚斌.电子线路Ⅰ.北京:清华大学出版社.2006年10月.

集成功率放大器

实验十三集成功率放大器 (特别提醒:实验电路图中可能存在有的元器件数值与实验电路板中的不相同,实验时应以实验电路板中的为准。另外,由于元器件老化、湿度变化、温度变化等诸多因素的影响所致,实验电路板中所标的元器件数值也可能与元器件的实际数值不一致。有的元器件虽然已经坏了,但仅凭肉眼看不出来。因此,在每次实验前,应该先对元器件(尤其是电阻、电容、三极管)进行单个元件的测量(注意避免与其它元器件或人体串联或并联在一块测量)。并记下元器件的实际数值。否则,实验测得的数值与计算出的数值可能无法进行科学分析。) 一.实验目的 1.了解OTL互补对称功率放大器的调试方法。 2.熟悉集成功率放大器TDA2030的主要性能和使用。 3.熟悉功率放大器的设计,及其性能指标的测量和它的调整方法。 二.功率放大器的原理 (一)功率放大器的特点和分类 功率放大器的作用是给某些电子设备中换能器提供一定的输出功率,如:收音机中的扬声器、继电器中的电感线圈等。当负载一定时,希望输出的功率尽可能大,输出信号的非线性矢量尽可能小,效量尽可能高。 功率放大器根据三极管的静态工作电流的不同,可分为甲类、乙类、甲乙类三种。 甲类功率放大器的电流i c>0,三极管在信号一周内导通,电源始终不断地输送功率,在没有信号输入时(即静态),这些功率全部消耗在管子和电阻上;当有信号输入时(即动态),其中一部份转化为有用的输出功率,所以,输出功率较小,输出效率较低。 (二)互补推挽功率放大器 乙类、甲乙类功率放大器虽然效率高,但它的输出波形严重失真,为了妥善解决失真和效率的矛盾,采用了互补推挽式电路,如图13-1所示。 + L - 图13-1 基本互补推挽电路

音频功率放大器

河南城建学院 《电子线路设计》课程设计说明书 设计题目:音频功率放大器 专业:计算机科学与技术 指导教师:杜小杰 班级:0814141 学号:081414109 姓名:罗含霜 同组人:娄莉娟 计算机科学与工程学院 2016 年6月6日

前言 在介绍音频功率放大器的文章中,有时会看到“THD+N”,THD+N是英文Total Hormonic Distortion +Noise 的缩写,译成中文是“总谐波失真加噪声”。它是音频功率放大器的一个主要性能指标,也是音频功率放大器的额定输出功率的一个条件。 THD+N性能指标 THD+N表示失真+噪声,因此THD+N自然越小越好。但这个指标是在一定条件下测试的。同一个音频功率放大器,若改变其条件,其THD+N的值会有很大的变动。 这里指的条件是,一定的工作电压VCC(或VDD)、一定的负载电阻RL、一定的输入频率FIN(一般常用1KHZ)、一定的输出功率Po下进行测试。若改变了其中的条件,其THD+N值是不同的。例如,某一音频功率放大器,在VDD=3V、FIN=1kHz、RL=32Ω、Po=25mW条件下测试,其TDH+N=0.003%,若将RL改成16欧,使Po 增加到50mW,VDD及FIN不变,所测的TDH+N=0.005%。 一般说,输出功率小(如几十mW)的高质量音频功率放大器(如用于MP3播放机),它的THD+N指标可达10-5,具有较高的保真度。输出几百mW的音频功率放大器,要用扬声器放音,其THD+N一般与为10-4;输出功率在1~2W,其THD+N 更大些,一般为0.1~0.5%.THD+N这一指标大小音频功率放大器的结构类别有关(如A类功放、D类功放),例如D类功放的噪声较大,则THD+N的值也较A类大。 这里特别要指出的是资料中给出的THD+N这个指标是在FIN=1kHz下给出的,在实际上音频范围是20Hz~20kHz,则在20Hz~20kHz范围测试时,其THD+N要大得多。例如,某音频功率放大器在1kHz时测试,其TDH+N=0.08%。若FIN改成20Hz-20kHz,,其他条件不变,其THD+N变为小于0.5%。 过去有用“不失真输出功率是多少”这种说法来说明其输出功率大小。这话的意思指的是输出的峰峰值没有“削顶”现象出现,即Vout(P-P)=Vcc-(上压差+下压差)这种说法是不科学的。即使不产生削顶,它也有一定的失真。较科学的说法是THD+N在某一指标下可输出的功率是多少。

D类数字功率放大器

3.3 D类数字功放 D类功放也叫丁类功放,是指功放管处于开关工作状态的功率放大器。早先在音响领域里人们一直坚守着A类功放的阵地,认为A类功放声音最为清新透明,具有很高的保真度。但A类功放的低效率和高损耗却是它无法克服的先天顽疾。后来效率较高的B类功放得到广泛的应用,然而,虽然效率比A类功放提高很多,但实际效率仍只有50%左右,这在小型便携式音响设备如汽车功放、笔记本电脑音频系统和专业超大功率功放场合,仍感效率偏低不能令人满意。所以,如今效率极高的D类功放,因其符合绿色革命的潮流正受着各方面的重视,并得到广泛的应用。 3.3.1 D类功放的特点与电路组成 1.D类功放的特点 (1)效率高。在理想情况下,D类功放的效率为100%(实际效率可达90%左右)。B类功放的效率为78.5%(实际效率约50%),A类功放的效率才50%或25%(按负载方式而定)。这是因为D类功放的放大元件是处于开关工作状态的一种放大模式。无信号输入时放大器处于截止状态,不耗电。工作时,靠输入信号让晶体管进入饱和状态,晶体管相当于一个接通的开关,把电源与负载直接接通。理想晶体管因为没有饱和压降而不耗电,实际上晶体管总会有很小的饱和压降而消耗部分电能。 (2)功率大。在D类功放中,功率管的耗电只与管子的特性有关,而与信号输出的大小无关,所以特别有利于超大功率的场合,输出功率可达数百瓦。 (3)失真低。D类功放因工作在开关状态,因而功放管的线性已没有太大意义。在D 类功放中,没有B类功放的交越失真,也不存在功率管放大区的线性问题,更无需电路的负反馈来改善线性,也不需要电路工作点的调试。 (4)体积小、重量轻。D类功放的管耗很小,小功率时的功放管无需加装体积庞大的散热片,大功率时所用的散热片也要比一般功放小得多。而且一般的D类功放现在都有多种专用的IC芯片,使得整个D类功放电路的结构很紧凑,外接元器件很少,成本也不高。 2.D类功放的组成与原理 D类功放的电路组成可以分为三个部分:PWM调制器、脉冲控制的大电流开关放大器、低通滤波器。电路结构组成如图3.22所示。

音频功率放大器设计实验报告

题目:音频功率放大器电路 音频功率放大器设计任务 1、基本要求 (1)频带范围 200Hz —— 10KHz,失真度 < 5%。 (2)电压增益 >= 20dB。 (3)输出功率 >= 1 W (8欧姆负载)。 (4)功率放大电路部分使用分立元件设计。 发挥部分 (1)增加音调控制电路。 (2)增加话筒输入接口,灵敏度 5mV,输入阻抗 >> 20 欧姆。 (3)输出功率 >= 10W (8欧姆负载)。 (4)其他。 目录 1 引言····························································· 2 总体设计方案·····················································2.1 设计思路······················································· 2.2 总体设计框图··················································· 3 设计原理分析·····················································3.1设计总原理图 3.2设计的PCB电路图 ··· 1 引言 在现代音响普及中,人们因生活层次、文化习俗、音乐修养、欣赏口味的不同,令对相同电气指标的音响设备得出不同的评价。所以,就高保真度功放而言,应该达到电气指标与实际听音指标的平衡与统一。

音频功率放大器是一个技术已经相当成熟的领域,几十年来,人们为之付出了不懈的努力,无论从线路技术还是元器件方面,乃至于思想认识上都取得了长足的进步。本次设计旨在熟悉设计流程,达到基本指标。 2 总体方案 根据实验要求,本次设计主要是也能够是用集成功放TDA2030为主的电路 一、电路工作原理 图1所示电路为音频功率放大器原理图,其中TDA2030是高保真集成功率放大器芯片,输出功率大于10W,频率响应为10~1400Hz,输出电流峰值最大可达3.5A。其内部电路包含输入级、中间级和输出级,且有短路保护和过热保护,可确保电路工作安全可靠。TDA2030使用方便、外围所需元器少,一般不需要调试即可成功。 RP是音量调节电位器,C1是输入耦合电容,R1是TDA2030同相输入端偏置电阻。 R2、R3决定了该电路交流负反馈的强弱及闭环增益。该电路闭环增益为 (R2+R3)/R2=(0.68+22)/0.68=33.3倍,C2起隔直流作用,以使电路直流为100%负反馈。静态工作点稳定性好。 C4、C5为电源高频旁路电容,防止电路产生自激振荡。R4、R5称为茹贝网路,用以在电路接有感性负载扬声器时,保证高频稳定性。VD1、VD2是保护二极管,防止输出电压峰值损坏集成块TDA2030。 2.电流反馈 电流反馈是指在一个反馈电路中,若反馈量与输出电流成正比则为电流反馈;若反馈量与输出电压成正比则为电压反馈。通常可以采用负载短路法来判断。 从概念上说,若反馈量与输出电压(有时不一定是输出电压,而是取样处的电压)成正比则为电压反馈;若反馈量与输出电流(有时不一定是输出电流,而是取样处的电流)成正比则为电流反馈。在判断电压反馈和电流反馈时,除了上述方法外,也可以采用负载短路法。负载短路法实际上是一种反向推理法,假设将放大电路的负载电阻RL短路(此时,),若

数字音频功率放大器的设计与制作

数字音频功率放大器的设计与制作 摘要:本数字音频功率放大器的设计以芯片TDA8920B为核心。本文简要介绍了该芯片TDA8920B的功能,并描述了以其基本组成的数字音频功率放大器。文章还包含对功率放大器的相关参数的一些简单测试方法,以及对该制作的评估。 关键词:数字音频;功率放大器;芯片TDA8920B;制作 经过十多年的发展,数字音频功率放大器的技术已经日趋成熟。且由于数字功放所具有的诸如小体积大功率[1]的优点,也使它的应用已经渗透到了许多需要用到音频放大的领域,如舞台扩音、家庭影院等等。出于对所学应用物理专业知识进行巩固与提升的目的,笔者选择了数字音频功率放大器的设计制作这个课题。下面将会介绍以芯片TDA8920为核心的数字功放电路的设计过程.。 1 音频功率放大器的分类 在数字功率放大器得到发展之前,我们熟悉的功放都是模拟功放。模拟功放是对时间轴上的连续变化的信号(如电压、电流)进行放大。后来出现的数字音频功率放大器通俗的讲则是对时间轴上的离散信号0、1进行变换[2]。 1.1 模拟功放 模拟功放的基础是是放大线性信号。按放大器的静态工作点来分类,有A类、B 类、AB类[3]。其中,A类放大器中,电流连续地流过所有输出器件,即输出级元件总处于导通区。它的电源转换效率非常低,功耗也很大。B类放大器是一种器件导通时间为50%的放大器。效率比A类高但随之而来的失真更大。AB类放大器则融合了A类放大器和B类放大器的特点。它在B类放大电路的基础上升级,但采用了一种推挽式电路,因此每个晶体管都不会被彻底截止。 1.2 数字功放 数字功放就是核心功率放大部分完全处于开与关状态的放大器。D类放大器的内部晶体管只有两种工作状态,即开与关工作,它属于数字功放。D类功放工作原理是先把模拟音频信号转换为PWM 信号(脉冲宽度调制)或PDM(脉冲密度调制)信号,,而后用这两种脉冲信号去控制大功率开关器件通或断。D类放大器从理论上来讲效率可达百分之百。电源利用率可逼近90%。它的转换速率高,瞬态响应特性好,可靠性极高,体积小,发热小。 图1 数字功放与模拟功放的比较 2 芯片TDA8920介绍 2.1 功能说明

音频功率放大器设计详解

音频功率放大器设计 一、设计任务 设计一个实用的音频功率放大器。在输入正弦波幅度≤5mV,负载电阻等于8Ω的 条件下,音频功率放大器满足如下要求: 1、最大输出不失真功率P OM≥8W。 2、功率放大器的频带宽度BW≥50Hz~15KHz。 3、在最大输出功率下非线性失真系数≤3%。 4、输入阻抗R i≥100kΩ。 5、具有音调控制功能:低音100Hz处有±12dB的调节范围,高 音10kHz处有±12dB的调节范围。 二、设计方案分析 根据设计课题的要求,该音频功率放大器可由图所示框图实现。 下面主要介绍各部 分电路的特点及要求。 图1 音频功率放大器组成框图 1、前置放大器 音频功率放大器的作用是将声音源输入的信号进行放大,然后输

出驱动扬声器。声音源 的种类有多种,如传声器(话筒)、电唱机、录音机(放音磁头)、CD唱机及线路传输等,这些声音源的输出信号的电压差别很大,从零点几毫伏到几百毫伏。一般功率放大器的输入灵敏度是一定的,这些不同的声音源信号如果直接输入到功率放大器中的话,对于输入过低的信号,功率放大器输出功率不足,不能充分发挥功放的作用;假如输入信号的幅值过大,功率放大器的输出信号将严重过载失真,这样将失去了音频放大的意义。所以一个实用的音频功率放大系统必须设置前置放大器,以便使放大器适应不同的的输入信号,或放大,或衰减,或进行阻抗变换,使其与功率放大器的输入灵敏度相匹配。另外在各种声音源中,除了信号的幅度差别外,它们的频率特性有的也不同,如电唱机输出信号和磁带放音的输出信号频率特性曲线呈上翘形,即低音被衰减,高音被提升。对于这样的输入信号,在进行功率放大器之前,需要进行频率补偿,使其频率特性曲线恢复到接近平坦的状态,即加入频率均衡网络放大器。 对于话筒和线路输入信号,一般只需将输入信号进行放大和衰减,不需要进行频率均衡。前置放大器的主要功能一是使话筒的输出阻抗与前置放大器的输入阻抗相匹配;二是使前置放大器的输出电压幅度与功率放大器的输入灵敏度相匹配。由于话筒输出信号非常微弱,一般只有100μV~几毫伏,所以前置放大器输入级的噪声对整个放大器的信噪比影响很大。前置放大器的输入级首先采用低噪声电路,对于由晶体管组成的分立元件组成的前置放大器,首先要选择低

实验十集成功率放大电路

实验十 集成功率放大电路 一、实验目的 1.熟悉集成功率放大电路的特点。 2.掌握集成功率放大电路的主要性能指标及测量方法。 二、实验仪器及材料 1.示波器 2.信号发生器 3.万用表 三、预习要求 1.复习集成功率放大电路工作原理,对照图1 2.2分析电路工作原理。 2.在图12.1电路中,若V CC =12V ,R L =8Ω,估算该电路的P cm 、P V 值。 3.阅读实验内容,准备记录表格。 集成功率放大器是一种音频集成功放,具有自身功耗低、电压增益可调整、电压电源范围大、外接元件少和总谐波失真少的优点。分析其内部电路,可得到一般集成功放的结构特点。LM386是一个三级放大电路,第一级为直流差动放大电路,它可以减少温飘、加大共模抑制比的特点,由于不存在大电容,所以具有良好低频特性可以放大各类非正弦信号也便于集成。它以两路复合管作为放大管增大放大倍数,以两个三极管组成镜象电路源作差分发大电路的有源负载,使这个双端输入单端输出差分放大电路的放大倍数接近双端输出的放大倍数。第二级为共射放大电路,以恒流源为负载,增大放大倍数减小输出电阻。第三级为双向跟随的准互补放大电路,可以减小输出电阻,使输出信号峰峰值尽量大(接近于电源电压),两个二极管给电路提供合适的偏置电压,可消除交越失真。可用瞬间极性法判断出,引脚2为反相输入端,引脚3位同相输入端,电路是单电源供电,故为OTL (无输出变压器的功放电路),所以输出端应接大电容隔直再带负载。引脚5到引脚1的15K Ω电阻形成反馈通路,与引脚8引脚1之间的1.35K Ω和引脚8三极管发射极间的150Ω电阻形成深度电压串联负反馈。此时:F AF A A A f u 1 1≈+= =,理论分析当引脚1引脚8之间开路时,有: 22)15.035.1151(2=++ ≈K K K A u ,当当引脚1引脚8之间外部串联一个大电容和一个电 阻R 时,)15.035.1151(2K R K K A u ++ ≈,因此当R=0时,202≈u A 。

TDA2030集成功率放大器

编号: 电子线路设计 实训(论文)说明书题目:TDA2030集成功率放大器

摘要 本设计所用的集成电路功率放大器由两片TDA2030构成,左右声道各用一片TDA2030。本设计中对多集成功率放大器的结构、电路形式和特点加以说明,并对集成功率放大器进行了组装和测试。点、流行款式作了总体介绍,并重点介绍分析了集成功率放大器电路原理,对重要的集成块TDA2030的使用也作了详细介绍,并配以电路图。 TDA2030 是一块性能十分优良的功率放大集成电路,其主要特点是上升速率高、瞬态互调失真小,在目前流行的数十种功率放大集成电路中,规定瞬态互调失真指标的仅有包括TDA 2030 在内的几种。我们知道,瞬态互调失真是决定放大器品的重要因素,该集成功放的一个重要优点。 关键词:集成;原理;功率;失真度

Abstract The design of integrated circuits used in power amplifier constituted by the two TDA2030, left and right channels each with a TDA2030. The design of the structure of multi-integrated power amplifier circuit to illustrate the form and features, and integrated power amplifier for the assembly and testing. Point, made a general overview of popular models, and highlights of the integrated power amplifier circuit, on the importance of integrated block TDA2030 also made use of detail, and with a circuit. TDA2030 is a very good performance power amplifier IC, the main feature is the high rate of rise, transient intermodulation distortion, in dozens of popular power amplifier integrated circuits to provide indicators of transient intermodulation distortion only including the TDA 2030, including several. We know that transient intermodulation distortion amplifier products is an important factor in the decision of the integrated amplifier is an important advantage. Key words:integration; principle; power; distortion

高效率音频功率放大器设计文献综述【文献综述】

文献综述 电子信息工程 高效率音频功率放大器设计文献综述 一、前言 为了节约电路的成本,提高放大器的效率,采用普通的电子元器件设计高 效率音频功率放大器的方法,使用基本的运算放大器,构成PWM路,形成D 类功率放大器,实现了高效率,低失真的设计要求。为了提高电路的抗干扰性能,在设计中使用了电压跟随器,差动放大器,有源带通滤波器等。使设计获 得了良好的效果。 二、主题 在现代音响普及中,人们因生活层次、文化习俗、音乐修养、欣赏口味的 不同,令对相同电气指标的音响设备得出不同的评价。所以,就高保真度功放 而言,应该达到电气指标与实际听音指标的平衡与统一。 音频功率放大器是一个技术已经相当成熟的领域,几十年来,人们为之付出了不懈的努力,无论从线路技术还是元器件方面,乃至于思想认识上都取得了长足的进步。 (一)早期的晶体管功放 半导体技术的进步使晶体管放大器向前迈进了一大步。自从有了晶体管,人们就开始用它制造功率放大器。  早期的放大器几乎全用锗管来制作,但由于锗管工艺上的一些原因,使得放大器中所用的晶体管,尤其是功放管性能指标不易做得很高,例如,共发射极截止频率fh的典型值为4kHz,大电流管的耐压值一般在30V一40V左右。这样,放大器的频率响应也就很狭窄,其3dB截止频率通常在10kHz左右,大大影响了音乐中高频信号的重现。再加上功放管的耐压、电流和功耗三个指标相互制约,制作较大功率的OTL或OCL放大器不易寻到三个指标都满足要求的管子,所以不得不采用变压器耦合输出。变压器的相移又使电路中加深度负反馈变得很困难,谐波失真得不到充分的抑制,因此这一时期的晶体管放大器音质是很差的。“还

#基于MAX9700的数字音频功率放大器

基于MAX9700的数字音频功率放大器 摘要:采用直接数字放大技术,设计了基于MAX9700的数字音频功率放大器,该系统与线性音频放大器(如A类、B类和AB类)相比,在功效上有相当的优势。控制功能由AT89S51实现.测试结果表明: MAX9700可为8 Ω负载提供1.2 W功率,效率高达90%以上,性价比较高。 引言 随着电子产品的数字化进程不断演进,音响设备(尤其是其中的关键产品功率放大器)的数字化也提上了日程。目前市场上很多功放产品都打出了“数字”的旗号,但其中有很多只是对产品进行了一些数字化处理,严格意义上只能称作数字化功放,真正的音频信号还是模拟的.数字功放是指在信号的处理过程中采用的是数字音频信号,用开关的方式放大信号。数字功放最大的特点是效率高,对电源及散热的要求大大降低,此外还有输出功率大、频响宽、体积小、信噪比高等优点。MAX9700单声道D类数字音频功率放大器具有AB类放大器的性能和D类放大器的效率,并可节省板上空间,大幅降低很多便携式/紧凑型应用的成本,同时可延长电池寿命,并且采用D类结构时,效率高达90%以上。 一、设计构想:利用HiFi级功放芯片制作一款全数字音频功率放大的Hi-Fi 集成功放,它应当达到以下下几点基本要求: 1,低失真度 2,低噪声 3,高分离度 4,音量数字调节 5,性价比高 6,效率高 二、方案论证 方案1:采用ad827做前置放大电路,CS5333作为模数转换电路,DPPC2006作为数字音频处理器,并兼有数字音量调节功能。后级PWM放大采 用74HC541芯片组成的MOS管H桥互补对称放大电路和低通滤波器 (LPF),能够达到低失真低噪声级高分离度高效率的要求。 方案2:采用LM358做前置放大电路,单片机Atmega8L作为数字音频处理器,并兼有数字音量调节功能。功率放大电路由6只常用的三极管组成同 相驱动方式实现数字功放功能。基本上能够达到低噪声级高分离度 的要求。

D类数字功率放大器

D类数字功率放大器 3、3 D类数字功放D类功放也叫丁类功放,是指功放管处于开关工作状态的功率放大器。早先在音响领域里人们一直坚守着A 类功放的阵地,认为A类功放声音最为清新透明,具有很高的保真度。但A类功放的低效率和高损耗却是它无法克服的先天顽疾。后来效率较高的B类功放得到广泛的应用,然而,虽然效率比A类功放提高很多,但实际效率仍只有50%左右,这在小型便携式音响设备如汽车功放、笔记本电脑音频系统和专业超大功率功放场合,仍感效率偏低不能令人满意。所以,如今效率极高的D 类功放,因其符合绿色革命的潮流正受着各方面的重视,并得到广泛的应用。 3、3、1 D类功放的特点与电路组成 1、D类功放的特点(1)效率高。在理想情况下,D类功放的效率为100%(实际效率可达90%左右)。B类功放的效率为 78、5%(实际效率约50%),A类功放的效率才50%或25%(按负载方式而定)。这是因为D类功放的放大元件是处于开关工作状态的一种放大模式。无信号输入时放大器处于截止状态,不耗电。工作时,靠输入信号让晶体管进入饱和状态,晶体管相当于一个接通的开关,把电源与负载直接接通。理想晶体管因为没有饱和压降而不耗电,实际上晶体管总会有很小的饱和压降而消耗部分电能。(2)功率大。在D类功放中,功率管的耗电只与

管子的特性有关,而与信号输出的大小无关,所以特别有利于超大功率的场合,输出功率可达数百瓦。(3)失真低。D类功放因工作在开关状态,因而功放管的线性已没有太大意义。在D类功放中,没有B类功放的交越失真,也不存在功率管放大区的线性问题,更无需电路的负反馈来改善线性,也不需要电路工作点的调试。(4)体积小、重量轻。D类功放的管耗很小,小功率时的功放管无需加装体积庞大的散热片,大功率时所用的散热片也要比一般功放小得多。而且一般的D类功放现在都有多种专用的IC 芯片,使得整个D类功放电路的结构很紧凑,外接元器件很少,成本也不高。 2、D类功放的组成与原理D类功放的电路组成可以分为三个部分:PWM调制器、脉冲控制的大电流开关放大器、低通滤波器。电路结构组成如图 3、22所示。图 3、22 D类功放的组成其中第一部分为PWM调制器。最简单的只需用一只运放构成比较器即可完成。把原始音频信号加上一定直流偏置后放在运放的正输入端,另外通过自激振荡生成一个三角形波加到运放的负输入端。当正端上的电位高于负端三角波电位时,比较器输出为高电平,反之则输出低电平。若音频输入信号为零时,因其直流偏置为三角波峰值的1/2,则比较器输出的高低电平持续的时间一样,输出就是一个占空比为1:1的方波。当有音频信号输入时,正半周期间,比较器输出高电平的时间比低

音频功率放大器设计(明细)

电气与电子信息工程学院《电子线路设计与测试B》报告 设计题目:多级音频放大电路的设计与测试专业班级:电子信息工程技术2013(1)班学号: 201330230118 姓名: 指导教师: 设计时间: 2015/07/13~2015/07/17 设计地点:K2—306

电子线路设计与测试B成绩评定表 姓名学号 专业班级电子信息工程技术2013级(1)班 课程设计题目:多级音频放大电路的设计与测试 课程设计答辩或质疑记录: 1、对一个音频功率放大器的前置级有什么要求? 答:要求:一是使话筒的输出阻抗与前置放大器的输入阻抗相匹配;二是使前置放大器的输出电压幅度与功率放大器的输入灵敏度相匹配。 2、试画出利用TDA2030/2030A实现的OTL功率放大器电路? 答: 3、何为D类功率放大器?D类功率放大器有什么特点? 答:(1)D类功放也叫丁类功放,是指功放管处于开关工作状态的功率放大器。 (2)特点:效率高、功率大、失真小、体积小。 成绩评定依据: 实物制作(40%): 课程设计考勤情况(10%): 课程设计答辩情况(20%): 完成设计任务及报告规范性(30%): 最终评定成绩: 指导教师签字: 年月日

目录 《电子线路设计与测试B》课程设计任务书 (4) 一、课程设计题目:多级音频放大电路的设计与测试 (4) 二、课程设计内容 (4) 三、进度安排 (4) 四、基本要求 (5) 五、课程设计考核办法与成绩评定 (5) 六、课程设计参考资料 (5) 多级音频功率放大电路的设计与测试 (6) 一、设计任务 (6) 二、设计方案分析 (6) 1、前置放大器 (6) 2、音调控制电路 (7) 3、功率放大器 (11) 三、主要单元电路参考设计 (11) 1、前置放大器电路 (12) 2、音调控制器电路 (12) 3、功率放大器电路 (14) 四、软件的仿真与调试 (15) 五、原理图与PCB的制作 (16) 六、音频功率放大器的调试 (17) 七、心得体会 (18) 八、附录 (19) 1、元件清单 (19) 2、实物图 (19) 3、文献 (19)

数字功率放大器调试

数字功放的测试技术不同于模拟放大器,因为特别的拓扑结构,不可避免的输出谐波,较高的dv/dt是一般的仪器不能接受的,美国音频测试技术公司提供的测试方法值得交流. 目前在功率功放机业界正兴起一股应用高速开关技术的风潮,这种被称为”Class-D”、”Class-T”甚至被误称为”Digital Amplifier”的设计,可以显著提升功率放大效率及降低成本,可以在有限的空间内达成传统模拟设计线路无法达成的高功率或多声道输出的要求,特别适合用于个人及汽车音响产品上. 这种新的开关式设计对音频特性的测量技术构成了新的挑战,这类功放机的输出信号中除了一般音频信号外还包含了上升时间极短的高频开关脉冲信号,这些脉冲信号并不会对一般的扬声器负载造成问题,因为一般的扬声器负载对这些脉冲信号而言相当于一个低通滤波器,不过对音频分析仪而言却是一个问题,一般音频分析仪的前端模拟前置功放级电路无法处理上升时间极短的脉冲信号(即一般音频分析仪的仪表读到的数据受到了音频以外高频信号的影响,是不准确的),哪怕在最好的状态下仍然无法完整正确地测量出这类功放机的真正特性. 为了解决这个问题,必须在待测功放机的输出与音频分析仪的输入之间安装特殊设计的低通滤波器,该滤波器必须能有效地滤除高频段的开关(switching)能量,同时必须能够承受功放机输出的大讯号,最重要的是不能增加音频分析仪的失真及噪声. 开关式功放机输出的开关(switching)能量可以视为”out-of-band”噪声的一种,但请不要和倍频取样(over-sampling)转换器所产生的”out-of-band”噪声混为一谈,这类转换器虽然于取样频率的1/2以上的频带产生噪声,但这些噪声并不含有高速切换的上升及下降边缘及其伴随的能量,虽然倍频取样转换器所产生的”out-of-band”噪声会影响到”in-band”音频特性量测的结果,但并不一定会妨碍到音频分析仪输入电路的运作,开关模式功放机输出信号高速切换的上升及下降边缘会超过大多数音频分析仪输入电路的回转率(slew rate)限制,使得分析仪的输入电路进入非线性状态而产生无法预期的结果,自动增益电路也无法正确运作导致无法精确地量测音频特性,更惨的是任何位于输入电路后端的滤波器都无法弥补这种在输入端就已经造成的错误,因此有必要在待测功放机的输出信号输入音频分析仪之前就解决这个问题. Audio Precision的音频分析仪可以安装多种加权及带通滤波器,这些滤波器位于两级自动增益电路及THD+N量测滤波器之后,其设计的目的是为了调整量测的频宽或予以加权以符合测试规范的要求,System Two或Cascade/Plus也可以安装针对AES17规范所设计的特殊低通滤波器S-AES17,AES17是测量数字音频电路方法的规范,该规范要求在量测之前先以多极(multipole)低通滤波器滤除20kHz以外的噪声,S-AES17可以非常有效地滤除倍频取样转换器所产生的”out-of-band”噪声,但不论是S-AES17或是其它加权或带通滤波器皆无法有效滤除开关模式的能量;如之前所提,必须使用特殊设计的外部高功率低通滤波器来滤除开关模式的能量. 为了解决开关式功放机设计者在量测上的困扰,Audio Precision设计了可以和Audio Precision音频分析仪(甚至它牌音频分析仪)搭配使用的特殊低通滤波器AUX-0025,该滤波器安装于待测功放机的输出与音频分析仪的输入之间,它可以滤除音频范围以外的开关(switching)噪声,对250kHz 以上的信号可以衰减50dB以上,更重要的是该双信道(channel)滤波器的被动式设计不会增加与其搭配使用的音频分析仪的失真及噪声. AUX-0025是目前解决开关式功放机量测问题的唯一方案,目前市面上大部份的音频分析仪都无法量测开关式功放机的音频特性,尤其是失真及噪声值,即使少数可以量到读值的音频分析仪如Audio Precision,其读值也会因为分析仪本身所产生的失真及噪声而失去准确性

音频功率放大器课程设计--OTL音频功率放大器的设计与制作-精品

学号: 课程设计 题目OTL音频功率放大器的设计与制作 学院信息工程学院 专业通信工程 班级通信1302 姓名 指导教师 2014 年 1 月23 日

课程设计任务书 题目:OTL音频功率放大器的设计与制作 初始条件: 元件:集成功放TDA2030A、集成稳压器LM7812、电阻、电容、电位计若干。 仪器:万用表、示波器、交流毫伏表、函数信号发生器、学生电源要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: ①要求设计制作一个音频功率放大器频率响应20~20KHZ,效率>60﹪,失真小。完成对音频功率放大器的设计、仿真、装配与调试,并自制直流稳压电源。 ②确定设计方案以及电路原理图并用multisim进行电路仿真。 时间安排: 序号设计内容所用时间 1 布置任务及调研1天 2 方案确定0.5天 3 制作与调试 1.5天 4 撰写设计报告书1天 5 答辩1天 合计1周 指导教师签名: 系主任(或责任教师)签名:年月日

目录 摘要 (1) Abstract (2) 音频功率放大器的设计与制作 (3) 1. 设计原理及参数 (3) 1.1音频功放电路的设计 (3) 1.1.1设计原理 (3) 1.1.2 参数计算 (5) 1.2直流稳压电源的设计 (6) 1.2.1设计原理 (6) 1.2.2参数计算 (7) 2.仿真结果及分析 (8) 2.1音频功率放大电路 (8) 2.1.1仿真原理图 (8) 2.1.2仿真效果图 (9) 2.2直流稳压电源电路 (11) 2.2.1电路原理图仿真 (11) 2.2.2仿真效果图 (11) 3.实物制作与性能测试 (12) 3.1音频功放实物制作 (12) 3.2性能测试 (13) 3.2.1功率性能测试 (13) 3.2.2频率响应测试 (14) 3.3直流稳压电源制作 (14) 3.4直流稳压电源的测试 (15) 4.收获以及体会 (15)

数字功率放大器的工作原理是什么

数字功率放大器的工作原理是什么 数字功率放大器其实就是D类功率放大器。传统功率放大器都是模拟功率放大器,也就是说利用模拟电路对信号进行功率放大,放大处理的是连续信号,而D类功率放大器是一种数字功率放大器,其功率输出管处于开关工作状态,即在饱和导通和截止两种状态间变化,用一种固定频率的矩形脉冲来控制功率输出管的饱和导通或截止。一般D类功率放大器中的矩形脉冲频率(其作用相当于采样频率)为100~200kHz,每台D类功率放大器生产出来后其矩形脉冲的频率就固定为一具体频率了,也就是脉冲周期固定了。矩形脉冲在一个周期内的宽度(或者说占空比)受到音频模拟信号的控制而改变,从而改变了功率输出管在一个脉冲周期内的导通时间,脉冲越宽(占空比越大),功率输出管在一个(采样)脉冲周期内导通时间越长,则输出电压就越高,输出功率就越大。调制波形原理图见图,称为脉冲宽度调制(PWM),它是一种对模拟信号电平进行数字编码的方法。数字功率放大器的特点是效率远远比传统的模拟功率放大器高得多,可以达到80%多甚至达90%多。由于D类功率放大器比AB类功率放大器在功率输出管上损耗的功率小得多,产生的热量也少得多,所以D类功率放大器的散热器可以减小,重量可以减轻。数字功率放大器的电源部分采用开关电源,因此整机效率将进一步提高,所以可以设计出输出功率相当大的数字功率放大器。早期的D类功率放大器的失真比较大,经过不断改进,目前失真已经降到比较低的水平,可以满足专业音响的要求。但是由于D类功率放大器功率输出管的开关频率很高,功率又很大,所以难免会有信号泄漏,这样也就容易引起信息的泄漏,所以在一些需要保密的场合还是以不采用D类功率放大器为好。目前一些数字功率放大器产品已经同时具有模拟输入口和数字输入口,既适合模拟信号输入,也可以数字信号输入,应用更灵活。

高保真音频功率放大器设计

电子技术课程设计报告——高保真音频功率放大器 上海大学机自学院自动化系 自动化 姓名:吴青耘 学号:16121324 指导老师: 李智华 2018年6月29日

一、项目名称 高传真音频功率放大器 二、用途 家庭、音乐中心装置中作主放大器 三、主要技术指标 1. 正弦波不失真输出功率Po>5W (f=1kHz,RL=8Ω) 2. 电源消耗功率P E<10W ( Po>5W ) 3. 输入信号幅度VS=200~400mV (f=1kHz,RL=8Ω, Po>5W ) 4. 输入电阻Ri>10kΩ( f=1kHz ) 5. 频率响应BW=50Hz~10kHz ( R L=8Ω,Po>5W) 四、设计步骤 1.电路形式

电路特点分析: 较典型的OTL 电路,局部反馈稳定了工作点,总体串联电压负反馈控制了放大倍数并提高输入电阻和展宽频带,退耦滤波电容及校正电容是为防止寄生振荡而设。 功率放大器通常由功率输出级、推动级(中间放大级)和输入级三部分组成。 功率输出级由互补对称电路组成。推动级(中间放大级)一般都是共射极放大电路,具有一定的电压增益。输入级的目的是为了增大开环增益,以便引入深度负反馈,改进电路的各项指标。 2.设计计算: 设计计算工作由输出级开始,逐渐反推到推动级、输入级。 (1) 电源电压的确定 输出功率 W P 50> )(228588 .01 V V cc =??= (2) 输出级(功率级)的计算 W P P V Vcc V A RL V I M M C ce cc CM 12.0112 1 375.18/112/0======= 功率管需推动电流:mA I I CM M b 5.2750/375.1/3===β 耦合电容:uF R f C L L 200021 ) 5~3(6≈=π,现取2200uF/25V 稳定电阻R 12:过大则损失功率过大,过小温度稳定性不良,通常取0.5~1欧姆。

实验五 集成功率放大器

实验五 集成功率放大器 一、实验目的 (1) 熟悉集成功率放大器的工作原理。 (2) 掌握集成功率放大器性能指标测试方法。 .二、实验仪器 .〈1〉双踪示波器1台 (2)数字毫伏表1台 (3)模拟实验台1台 (4)数字万用表1块 .三、预习要求 (1)复习集成功率放大器的工作原理,阅读实验内容,对照图1-1及图1-2分析工作原理。 (2)在图1-2电路中,若Vcc=12V , RL=10Ω, 计算电路的输出功率Pom, 电源供给功率Pc 、效率η。 四、实验原理 实验电路由集成电路LM386加外围元件组成, 该电路为美国国家半导体公司产品。采用8引线双列直插封装,电源电压VCC 使用范围(VCC=5-18V )、静态功耗低(VCC= 12V 时为6mA 左右),由于该集成电路外接元件少,因而在便携式无线电设备、收音机、录音机、小型放大设备中得到广泛应用。 LM386是单电源互补对称功放集成电路,该电路内部包括由Vl 构成的射极输出器、V2、V3构成的差动放大电路、V5、V6构成的镜像电流源以及由V8、V9、V10组成互补对称电路构成的输出级。为使电路工作在甲乙类放大量状态,利用VD1、VD2提供偏置电压。该电路静态工作电流很小,约4mA-8mA 。输入电阻较高约5M Ω左右,故可以获得很高的电压增益,由于V1、V2采用截止频率较低的横向PNP 管,故几十赫以下的低频噪音很小。该电路内部原理如图1-1所示。 图1-2为外部接线原理图,图中Rw 为输入衰减电位器(音量控制),信号由③脚同相端输入,②脚反相端接地。C1、C2为接在直流电源Vcc 端(⑥脚)的退耦电容,C4为输出(⑤脚)耦合电容,C5为旁路电容(⑦脚),C3为跨接在①脚与⑧)脚之间的增益控制电容。当①脚和⑧脚之间开路时,电压增益为26dB ;若在①脚和⑧脚之间接阻容串联元件,则增益最高可达46dB ,改变阻容值则增益可在26dB-46dB 之间任意选取,电阻值越小增益越大。 (虚线框测数据时不接入)。 123 4 5678增益增益-输入+输入地输出 +V 旁路LM386引脚图 音箱 8欧 黑 红 LM386功率放大器原理图 om 图1-2

高效音频功率放大器的设计

高效音频功率放大器的设计 摘要 在音频功率放大器的市场上,AB类一直处于统治地位。近年来,随着MP3、DVD 和移动电话等便携式消费电子产品的普及,D类音频功率放大器以高效率、低功耗、小体积的优点日益成为音响领域的主流,在未来便携式和大功率音频视频领域中将具有广阔的发展前景,因此对高效音频功率放大器的设计具有十分重要的意义。 本设计根据D类功放的工作原理设计的D类音频功率放大器,能对音频信号进行放大,放大器的通频带达到300~3400HZ,输出功率1W,输出信号无明显失真。根据D类功放的原理分别设计了前置放大模块、三角波产生模块、比较器模块、驱动模块、H 桥互补对称输出及低通滤波模块等。其中三角波产生器及比较器共同组成脉宽调制(PWM)模块,H 桥互补对称输出电路采用驱动电流小、低导通电阻及良好开关特性的VMOSFET 管,滤波器采用两个相同的四阶B utterworth 低通滤波器。经过仿真和测试都达到了设计的要求。 关键词:高效,音频, D类功放,放大器

Design of High-Efficient Audio Power Amplifier ABSTRACT In the audio power amplifier market, AB has been the dominant class. In recent years, along with MP3, DVD and mobile phones, the popularity of portable consumer electronic products, D audio power amplifier with high efficiency, low power, small size advantage of the field is increasingly becoming the mainstream audio, portable and high-power audio in the future Video area will have a bright future,Therefore, efficient audio power amplifier design is of great significance. According to the working principle of class D amplifier, this product is designed and the audio signal can be amplified to the amplifier's pass band to 300 ~ 3400HZ, the output power 1W, the output signal without significant distortion. Class D amplifier according to the principle of preamp modules were designed, triangular wave generator module, comparison module, driver module, H bridge output and the complementary symmetric low-pass filter module. One triangular wave generator and pulse width modulation comparator common form (PWM) module, H bridge output circuit using complementary symmetry drive current, low resistance and good switching characteristics of VMOSFET tube, filter uses two identical fourth-order Butterworth low-pass filter. KEY WORDS:High-Efficient, Audio, Class D amplifier,Amplifier

相关文档
最新文档