多路数据采集系统设计报告

多路数据采集系统设计报告
多路数据采集系统设计报告

目录

第1章绪论设计目的及要求 (2)

1.1绪论 (2)

1.2设计目的 (2)

1.3设计要求 (2)

第2章系统总体方案选择与说明 (3)

2.1硬件设计框图 (3)

2.2软件设计框图 (4)

第3章数据采集系统概述、工作原理及其说明 (5)

3.1数据采集系统概述 (5)

3.2工作原理及其说明 (5)

第4章各单元硬件设计及说明 (7)

4.1单片机的时钟源 (7)

4.2ADC0809(模数转换芯片) (10)

4.3程序存储器和数据存储器电路设计 (11)

第5章软件设计与说明 (12)

5.1设计条件 (12)

5.3模块程序设计 (15)

第6章调试步骤及使用说明 (21)

第7章设计总结 (22)

参考文献 (23)

附录 (24)

A、系统电路原理图: (24)

B、程序 (25)

电气信息学院课程设计评分表 (31)

第1章绪论设计目的及要求

1.1 绪论

随着计算机技术的飞速发展和普及,数据采集系统也迅速地得到应用。在生产过程中,应用这一系统可对生产现场的工艺参数进行采集,监视和记录,为提高产品质量,降低成本提供信息和手段。在科学研究中,应用数据采集系统可获得大量的动态信息,是研究瞬间物理过程的有力工具,也是获取科学奥秘的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理越及时,工作效率就越高,取得的经济效益也越高。本设计采用ATMEGA16单片机作为数据采集系统的控制核心,系统分为数据采集模块、A/D转换模块、系统控制模块、键盘模块、显示模块等几部分。

1.2 设计目的

利用单片机为核心设计一个多路数据采集系统,要求每个通道的信号经A/D转换后以10进制数在LED 显示器上显示,并能够通过键盘操作切换显示不同通道的采样值。

1.3 设计要求

本课题要求利用单片机为核心设计一个八路数据采集系统,要求每个通道的信号经A/D转换后以10进制数在LED 显示器上显示,并能够通过键盘操作切换显示不同通道的采样值。本系统中包括8路模拟量输入,范围0-5V。要求对8个通道的模拟量进行巡回采样,再将采集的数据进行工程量化转换后在LED显示器上显示,并能通过按键切换所选通道的采样数据。

第2章系统总体方案选择与说明

2.1硬件设计框图

典型数据采集系统配置如图2.1所示,有的已实现集成化,多个传感器的预处理电路输出接入多路模拟开关,然后经过取样/保持电路和A/D转换后进入CPU系统。

图2.2 典型数据采集系统配置图

(1)传感器是经典的利用各种原理将被测物理量转化为电信号。

(2)预处理模块是将模拟信号进行调整、放大,在模拟电路方便实现的基础上对信号进行自动补偿、自动校正,抑制温漂的模块。

(3)数据采集A/D模块将模拟信号进行采样、量化,转化为数字信号.

(4)计算机可能为PC机、单片机或其他专用处理器,具有数据存储、记忆与信息处理功能,具有判断、决策处理功能。

2.2 软件设计框图

图2.2软件设计框图

第3章数据采集系统概述、工作原理及其说明3.1数据采集系统概述

数据采集是信息科学的一个主要组词成部分,信息技术的核心是信息获取,通信和计算机技术,常被称为3C技术,其中信息获取是基础和前提。数据采集是获取信息的主要手段,它随着科学技术的进步而得到迅速发展。目前各种各样的数据采集系统已得到广泛应用,新型数据采集系统仍不断涌现。

随着科学技术的发展与普及,数字设备正越来越多地取代模拟设备,在生产过程控制和科学研究等广泛领域中,计算机控制技术正发挥着越来越主要的作用,然而外部世界的大部分信息是以连续变化的物理量形式出现的,例如温度、压力、位移、速度等。要将这些信息送入计算机进行处理,就必须先将这些连续的物理量离散化,并进行量化编码,从而变成数字量,这个过程就是数据采集。

数据采集系统是计算机与外部世界联系的桥梁。数据采集技术是信息科学的主要组成部分,它是以传感器技术、信号检测与处理、电子学、计算机技术等方面技术为基础而形成的一个综合应用技术学科,已广泛应用于国民经济和国防建设的各个领域,并且随着科学技术的发展,尤其是计算机技术的发展与普及,数据采集技术有广阔的发展前景。

3.2工作原理及其说明

1 、采用80C52单片机和ADC0809构成一个八路数据采集系统。

2 、能够顺利采集各个通道的信号。

3 、采集信号的动态范围:0~5V。

4 、每个通道采样速率:100 sps。

5 、在面包板上完成电路,将采集的数据送入单片机70H~77H存储单元。

6 、编写相应的单片机采集程序到达规定的性能:

8路输入模拟信号数值显示电路由A/D转换、数据处理及显示控制等组成。A/D转换由集成电路0809完成。0809具有8路模拟输入端口,地址线(23~25脚)可决定对哪一路模拟输入作A/D转换。第22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存;6脚为测试控制,当输入一个2微秒宽脉冲时,就开始A/D转换;7脚为A/D转换结束标志,当A/D 转换数据结束时,7脚输出高电平;9脚为A/D转换数据输出允许控制,当OE脚为高电平时,A/D转换数据从端口输出;10脚为0809的时钟输入端,利用单片机30脚的六分频晶振信号再通过74hc193二分频得到。单片机的P1、P3端口作4位LED数码管显示控制,P0端口作A/D转换数据读入用,P2端口用作A/D转换控制。

第4章各单元硬件设计及说明

4.1单片机的时钟源

4.1.1单片机时钟源

电容C1、C2和晶振(6MHz)组成8031的外部时钟源电路(如图4.1.1),将C1、C2和晶振组成的回路称为LC并联谐振回路,晶振起电感的作用,谐振频率由晶振的频率所决定,8031单片机的晶振可以选1.2MHz~12MHz。电容C1、C2的取值一般在20Pf~100pF之间(在60pF~70pF时,频率比较稳定)。

图4.1.1单片机时钟源

采用80C52单片机作为数据处理及显示芯片,80C52的芯片管脚图如下:

图3.1 80C52单片机引脚图

各管脚说明:

VCC(40):供电电源GND(20):接地

P0(32~39)口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1(1~8)口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2(21~28)口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH 编程和校验时接收高八位地址信号和控制信号。

P3(10~17)口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口作为AT89C51的一些特殊功能口,管脚备选功能

RST(9):复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

PSEN(29):外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

EA / VPP(31):当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

XTAL1(18):反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2(19):来自反向振荡器的输出。

电容C1、C2和晶振(6MHz)组成8031的外部时钟源电路,将C1、C2和晶振组成的回路称为LC并联谐振回路,晶振起电感的作用,谐振频率由晶振的频率所决定,8031单片机的晶振可以选1.2MHz~12MHz。电容C1、C2的取值一般在20Pf~100pF之间(在60pF~70pF时,频率比较稳定)。

4.2 ADC0809(模数转换芯片)

本系统采用ADC0809来转换模拟信号,其管脚图如下:

ADC0908引脚功能说明:

图4.2 ADC0809引脚图

ADC0809引脚功能说明

IN0~IN7(1~5,26~28):8路模拟量输入端。

2-1~2-8(8.14.15.17.18.19.20.21):8位数字量输出端。

ADDA、ADDB、ADDC(23~25):3位地址输入线,用于选通8路模拟输入中的一路

ALE(22):地址锁存允许信号,输入,高电平有效。

START(6): A/D转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上升沿使0809复位,下降沿启动A/D转换)。

EOC(7): A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。

OE(9):数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。

CLK(10):时钟脉冲输入端。要求时钟频率不高于640KHZ。

REF(+)、REF(-)(12.16):基准电压。

Vcc(11):电源,单一+5V。

GND(13):地。

4.3 程序存储器和数据存储器电路设计

EPROM2716是8031单片机的程序存储器,用于存放指令,常数及表格。其地址范围为0000H~07FFH。片选端CS接地,表示2716总是处于选通状态。开机后,由8031的

PSEN控制OE端(低电平有效),自动执行从0000H开始的程序。如果从EPROM 中取常数或查表,则需要执行MOVCA,@A+DPTR指令。

RAM6116是8031单片机的数据存储器,用于存放采集的数据及数据的计算与处理结果等。它的地址范围也是0000H~07FFH,但不会与EPROM2716的地址发生冲突。因为它的片选端S

C是通过8031的地址线控制的。当地址线P26 =0 时,RAM6116才选通。8031

执行MOVX@DPTR,A指令可以产生R

W信号,将累加器A的内容送片外数据存储器。

执行MOVX A,@DPTR指令可以产生RD信号,将片外数据存储器由DPTR指定的地址单元中的内容送至累加器A。DPTR表示16位的地址计数器的内容,它可以通过执行MOV

DPTA,#addrl6指令被赋值。

第5章软件设计与说明

5.1设计条件

本系统8路模拟量输入,范围0-5V。要求对8个通道的模拟量进行巡回采样,再将采集的数据进行工程量转换后在LED显示器上显示,并能通过按键切换所选通道的采样数据。

5.2编程思想和流程图

编程思想:根据硬件电路图,我们应用汇编语言进行编程。首先在P2.4和P2.3引脚提供正脉冲,启动A/D转换,因转换需要一定的时间,所以需延时等待;然后读取数据,利用软件编程,将二进制数转换为十进制数,送到数码管显示;从左到右轮流点亮显示器各位,对于显示器的每一位来说,每隔一段时间点亮一次,利用人的视觉暂留功能可以看到整个显示,但必须保证扫描速度足够快,字符才不闪烁,利用单片机的P1口提供显示段码,P3口的低四位提供位码,数码管的第一位显示通道数,后三位显示0~255的采集数据。流程图如下所示:

5.2.1主程序流程图

5.2.2 模数转换流程图

5.3 模块程序设计

1、初始化程序

系统上电时,将70H~77H内存单元清0,P2口置1. CLEARMEMIO: CLR A

MOV P2,A ;P2口置0

MOV R0,#70H ;内存循环清零(70H~7BH) MOV R2,#0CH

LOOPMEM: MOV @R0,A

INC R0

DJNZ R2,LOOPMEM

MOV A,#OFFH

MOV P0,A ;P0,P1,P3端口置1

MOV P1,A

MOV P3,A

RET ;子程序返回

2、主程序

在刚上电时,因70H~77H内存单元的数据为0,则每一通道的数码管显示值都是000.当进行一次测量后,将显示出每一通道的AD转换值。每个通道的数据显示时间在1S左右。主程序在调用显示程序和测试程序之间循环,其流程图如5.1.1。

汇编程序:

START: LCALL CLEARMEMIO ;初始化

MAIN: LCALL DISPLAY ;显示数据一次

LCALL TEST ;测量一次

AJMP MAIN ;返回MAIN循环

NOP ;PC值出错处理

NOP ;空操作

NOP ;空操作

LJMP START ;重新复位启动

DISPLAY: MOV R3,#08H ;8路信号循环显示控制

MOV R0,#70H ;显示数据初值(70H~77H)

MOV 7BH,#00H ;显示通道路数(0~7)DISLOOP1:MOV A,@R0 ;显示数据转为3位十进制BCD MOV B,#100 ;7AH、79H、78H显示单元内

DIV AB ;显示数据除100

MOV 7AH,A ;商入7AH

MOV A,#10 ;A放入数10

XCH A,B ;余数与数10交换

DIV AB ;余数除10

MOV 79H,A ;商入79H

MOV 78H,B ;余数入78H

MOV R2,#0FFH ;每路显示时间控制4ms*255 DISLOOP2:LCALL DISP ;调4位LED显示程序

DJNZ R2,DISPLOOP2 ;每路显示是时间控制

INC R0 ;显示下一路

INC 7BH ;通道显示数值加1

DJNZ R3,DISLOOP1 ;8路显示未完转DISLOOP1再

循环

RET ;8路显示完子程序结束

LED共阳显示子程序,显示内容78H~7BH,数据在P1输出,列扫描在P3.0~P3.3口

DISP: MOV R1,#78H ;赋显示数据单元首地址

MOV R5,#0FEH ;扫描字

PLAY: MOV P1,#0FFH ;关显示

MOV A,R5 ;取扫描字

ANL P3,A ;开显示

MOV A,@R1 ;取显示数据

MOV DPTR,#TAB ;取段码表首地址

MOVC A,@A+DPTR ;查显示数据对应段码

MOV P1,A ;段码放入P1口

LCALL DL1MS ;显示1ms

INC R1 ;指向下一地址

MOV A,P3 ;取P3口扫描字

JNB ACC.3,ENDOUT ;4位显示完转ENDOUT

RL A ;扫描字循环左移

MOV R5,A ;扫描字放入R5暂存

MOV P3,#0FFH ;显示暂停

ALMP PLAY ;转PLAY循环

ENDOUT: MOV P3,#0FFH ;显示数据,端口置1

MOV P1,#0FFH

RET ;子程序返回

LED数码显示管用共阳段码表,分别对应0~9,最后一个是“熄灭符”TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,0FFH,

1ms延时子程序,LED显示用

DL1MS: MOV R6,#14H

DL1: MOV R7,#19H

DL2: DJNZ R7,DL2

DJNZ R6,DL1

RET

3、显示子程序

采用动态扫描法实现4位数码管的数值显示。测量所得的AD转换数据放70H~77H内存单元中。测量数据在显示时需经过转换成十进制BCD码放在78H~7BH中,其中7BH存放通道表指数。寄存器R3用作8路循环控制,R0用作显示数据地址指针。

4、模数转换测量子程序

模数转换测量子程序是用来控制对0809 八路模拟输入电压的AD转换,并对应的数值移入70H~77H内存单元,其流程图如5.1.2。TEST: CLR A ;清累加器A

MOV P2,A ;清P2口

MOV R0,#70H ;转换值存放首地址

MOV R7,#08H ;转换8次控制

LCALL TESRART ;启动测试

WAIT: JB P3.7,MOVD ;等A/D转换结束信号后转MOVD: AJMP WAIT ;P3.7为0,等待TESTART: SETB P2.3 ;锁存测试通道地址

NOP ;延时2微秒

NOP

CLR P2.3 ;测试通道地址锁存完毕

SETB P2.4 ;启动测试,发开始脉冲

NOP ;延时2微秒

NOP

CLR P2.4 ;发启动脉冲完毕

NOP ;延时4微秒

NOP

NOP

NOP ;子程序调用结束

RET

取A/D转换数据至70H~77H内存单元

MOVD: SETB P2.5 ;0809输出允许

MOV A,P0 ;将A/D转换值入A

MOV @R0,A ;放入内存单元

CLR P2.5 ;关闭0809输出

INC R0 ;内存地址加1

MOV A,P2 ;通道地址移入A

INC A ;通道地址加1

MOV P2,A ;通道地址送0809

CLR C ;清进位标志

CJNE A,#08H,TESTCON ;通道地址不等于8转TESTCON

在测试

JC TESTCON ;通道地址小于8转TESTCON

在测试

CLR A ;大于或等于8,A/D转换结

束,恢复端口

MOV P2,A ;P2口置0

MOV A, #0FFH

MOV P0,A ;P0口置1

MOV P1,A ;P1口置1

MOV P3,A ;P3口置1

RET ;取A/D转换数据结束TESTCON: LCALL TESTART ;再发测试启动脉冲

LJMP WAIT ;跳至WAIT,等待A/D转换

结束信号

END ;程序结束

多路数据采集

目录 一、任务与要求 (2) 二、总体设计 (2) 1、电路原理框图 (2) 2、整体工作原理 (3) 三、各部分电路原理图 (4) 1、模拟开关部分 (4) 2、D/A转换部分 (4) 3、三态门驱动部分 (5) 3、RAM部分 (5) 4、十六位数码显示 (6) 5、A/D转换部分 (6) 6、逻辑控制与时钟电路 (7) 四、仿真结果 (7) 1、进行一路数据的采集 (7) 2、进行两路信号的采集 (8) 五:转换精度的分析 (9) 六、该电路实现的功能 (10)

多路数据采集系统的设计报告 一、任务与要求 数字电路所能处理的信号为数字信号,而生产实践中的许多信号属于模拟信号,因而,模/数变换和数/模变换就成为电子技术应用中的基本环节。本实验用数/模、模/数转换器为主设计制作一个数据采集系统。 (1) 用ADC0809或其它ADC 芯片实现对两路以上的模拟信号的采集,模拟信号 以常用物理量温度为对象,可以经传感器、输入变换电路得到与现场温度成线性关系的0~5V 电压,也可以直接用0~5V 的电压模拟现场温度。采集的数据一方面送入存储器保存(如RAM6264),同时用数码管跟踪显示。 (2) 从存储器中读出数据,经D/A 芯片0832或其它DAC 芯片作D/A 变换,观察 所得模拟量与输入量的对应情况 (3) 分析转换误差,研究提高转换精度的措施。 二、总体设计 1、电路原理框图 数据采集系统框图如图8-6-1。

图1数据采集系统框图 说明: (1)、在multisim中使用两个函数发生器产生一个Vpp为5v的正弦波和Vpp 为5V的三角波作为传感信号。 (2)、数字量显示使用的是十六进制。 (3)、在此电路中用模拟开关控制采集哪路信号。 2、整体工作原理 图1数据采集系统电路图 当电路上电开始工作时,J2处于低电位,RS触发器处于置一状态,将开关J2开到高电位时,此时RS为保持状态,控制三态门工作,并使RAM置于写状态,控制A/D不工作。D/A转换器每进行完一次转换都会使EOC’输出一高电平,当下一次转换开始时EOC’又开始变为高电平,利用EOC’给计数器提供冲击脉冲使其计数,并计数器的计数功能来控制RAM的内存单位自动加一,从而使000H--1FFH

多路数据采集器设计报告

多路数据采集器设计 1.设计要求 所设计的数据采集器,共有16路信号输入,每路信号都是直流0~20mV信号,每秒钟采集一遍,将其数据传给上位PC计算机。本采集器地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,通信用RS232接口,通信芯片用MAX232。 与PC机的RS232串口进行通信。 设计采集器的电原理图,用C51语言编制采集器的工作程序。 2.方案设计 按要求,设计数据采集器方案如下所示: 数据采集器采用AT89C51单片机作为微控制器,模拟开关4067的地址A、B、C、D分别与P1.0~P1.3连接,通过控制P1口输出来选择输入信号,将直流信号依次输入ADC0809的模拟信号输入端,ADC0809共有8路输入通道,在使用模拟开关时,仅将模拟开关的输出端连接到ADC0809的1路输入通道即可,本方案中使用0通道。ADC0809的转换结果通过P0口传给单片机,单片机将采集结果通过串行通信RS232接口上传给上位PC机,实现数据的采集。 数据采集器方案示意图

3.电路原理图 a)AT89C51单片机电路 本实验中选取8位单片机AT89C51作为微控制器,需要片外11.0592MHz的振荡器,4K字节EPROM,128字节RAM,与51单片机有很好的兼容性。在本此实验中程序及数据不多,故无需另加外部程序存储器。单片机部分的电路如下所示: AT89C51单片机电路 b)数据输入部分

数据输入部分由模拟开关4067实现多路信号的切换。CD4067是单16路(单刀16位)模拟开关,各开关由外部输入二进制的地址码A、B、C、D来切换。其中脚10、11、14和13是地址码A(LSB)、B、C、D(MSB)的输入端;脚2~9和16~23是开关的输入/输出端(开关位);脚1是开关的输出/输入公共端(开关刀);脚15为控制端,低电平有效(选通),高电平禁止(开关开路)。 输入脚A、B、C、D分别与单片机P1.0~P1.3相连,改变P1输出即可切换输入通道,控制脚与P2.4相连。输出脚1后接电压放大电路。 c)电压调理放大电路 电压调理电路 由于输入信号均为0~20mV的微弱电压信号,而模数转换器ADC0809的输入量要求为0~5V 直流电压,所以必须后接电压放大电路。放大器选用OP07,将0~20mV电压放大到0~5V,其放大倍数为250倍,一般情况下,放大器的放大倍数最好小于200倍,安全起见,选用两个OP07进行两级放大,前级放大25倍,后级放大10倍,放大电路如上图所示。 d)模数转换部分 ADC0809数模转换电路 模数转换元件选用ADC0809,其主要特性有: 8路8位A/D转换器,即分辨率8位;

激光雷达高速数据采集系统解决方案

激光雷达高速数据采集系统解决方案 0、引言 1、 当雷达探测到目标后, 可从回波中提取有关信息,如实现对目标的距离和空间角度定位,并由其距离和角度随时间变化的规律中得到目标位置的变化率,由此对目标实现跟踪; 雷达的测量如果能在一维或多维上有足够的分辨力, 则可得到目标尺寸和形状的信息; 采用不同的极化方法,可测量目标形状的对称性。雷达还可测定目标的表面粗糙度及介电特性等。接下来坤驰科技将为您具体介绍一下激光雷达在数据采集方面的研究。 1、雷达原理 目标标记: 目标在空间、陆地或海面上的位置, 可以用多种坐标系来表示。在雷达应用中, 测定目标坐标常采用极(球)坐标系统, 如图1.1所示。图中, 空间任一目标P所在位置可用下列三个坐标确定: 1、目标的斜距R; 2、方位角α;仰角β。 如需要知道目标的高度和水平距离, 那么利用圆柱坐标系统就比较方便。在这种系统中, 目标的位置由以下三个坐标来确定: 水平距离D,方位角α,高度H。 图1.1 用极(球)坐标系统表示目标位置

系统原理: 由雷达发射机产生的电磁能, 经收发开关后传输给天线, 再由天线将此电磁能定向辐射于大气中。电磁能在大气中以光速传播, 如果目标恰好位于定向天线的波束内, 则它将要截取一部分电磁能。目标将被截取的电磁能向各方向散射, 其中部分散射的能量朝向雷达接收方向。雷达天线搜集到这部分散射的电磁波后, 就经传输线和收发开关馈给接收机。接收机将这微弱信号放大并经信号处理后即可获取所需信息, 并将结果送至终端显示。 图1.2 雷达系统原理图 测量方法 1).目标斜距的测量 雷达工作时, 发射机经天线向空间发射一串重复周期一定的高频脉冲。如果在电磁波传播的途径上有目标存在, 那么雷达就可以接收到由目标反射回来的回波。由于回波信号往返于雷达与目标之间, 它将滞后于发射脉冲一个时间tr, 如图1.3所示。 我们知道电磁波的能量是以光速传播的, 设目标的距离为 R, 则传播的距离等于光速乘上时间间隔, 即2R=ct r 或 2 r ct R

基于单片机的温度数据采集系统实验报告

基于单片机的温度数据采集系统实验报告 班级:电技10—1班 姓名:田波平 学号:1012020108 指导老师:仲老师

题目:基于单片机的温度数据采集系统 一.设计要求 1.被测量温度范围:0~120℃,温度分辨率为0.5℃。 2.被测温度点:2个,每5秒测量一次。 3.显示器要求:通道号2位,温度4位(精度到小数点后一位)。 显示方式为定点显示和轮流显示。 4.键盘要求: (1)定点显示设定;(2)轮流显示设定;(3)其他功能键。 二.设计内容 1.单片机及电源模块设计 单片机可选用AT89S51及其兼容系列,电源模块可以选用7805等稳压组件,本机输入电压范围9-12v。 2.存储器设计 扩展串行I2C存储器AT24C02。 要求: AT24C02的SCK接P3.2 AT24C02的SDA接P3.4 2.传感器及信号转换电路 温度传感器可以选用PTC热敏电阻,信号转换电路将PTC输出阻值转换为0-5V。 3.A/D转换器设计 A/D选用ADC0832。 要求: ADC0832的CS端接P3.5 ADC0832的DI端接P3.6 ADC0832的DO端接P3.7 ADC0832的CLK端接P2.1 4.显示器设计。 6位共阳极LED显示器,段选(a-h)由P0口控制,位选由P2.2-P2.7控制。数码管由2N5401驱动。 5.键盘电路设计。 6个按键,P2.2-P2.7接6个按键,P3.4接公共端,采用动态扫描方式检测键盘。 6.系统软件设计。 系统初始化模块,键盘扫描模块,数据采集模块,标度变换模块、显示模块等。 三.设计报告要求 设计报告应按以下格式书写: (1)封面; (2)设计任务书; (3)目录; (4)正文;

多路数据采集与控制系统

1 引言 数据采集是指将温度、压力、流量、位移等模拟量采集、转换成数字量后,再由计算机进行存储、处理、显示的过程。在生产过程中,可对生产现场的工艺参数进行采集、监视和记录,为提高产品的质量、降低成本提供信息和手段。本文设计了一套多路数据采集系统,实施采集多现场的温度参数,系统通过RS485总线将采集到的现场温度数据传输至上位机,上位机对采集到的数据进行显示、存储,从而达到现场监测与控制的目的。 2 设计目的和要求 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上 0-5V的模拟电压进行采集的能力,且可以用程序选择装换通道,选择ADC0809 作为A/D转换芯片。 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 3 系统设计方案 1.八路模拟信号的产生 被测电压要求为0~5V的直流电压,可通过八个滑动变阻器调节产生。 2.模拟信号的采集 八路数据采集系统采用共享数据采集通道的结构形式,数据采集方式确定为程序控制数据采集。 3.A/D转换器的选取 八位逐次比较式A/D转换器 4.控制与显示方法的选择 用单片机作为控制系统的核心,处理来自ADC0809的数据。经处理后通过串口传送,由于系统功能简单,完成采样通道的选择,单片机通过接口芯片与LED

数码显示器相连,驱动显示器相应同采集到的数据。 图3.1 总体设计图 4 硬件系统的设计 4.1芯片ADC0809的引脚功能和主要性能 ADC0809八位逐次逼近式A/D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。 ADC0809的引脚图及51单片机引脚图: 图4.1 ADC0809管脚图及51单片机芯片管脚图 模拟输入通道1 ADC0808 单片机 LED 模拟输入通道2 模拟输入通道8

多路数据采集系统设计毕业论文

多路数据采集系统设计毕业论文 第1章绪论 1.1 多路数据采集系统介绍 随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。 此外,计算机的发展对通信起了巨大的推动作用。算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物[2]。 数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等

工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。 由于RS-232在微机通信接口中广泛采用,技术已相当成熟。在近端与远端通信过程中,采用串行RS-232标准,实现PC机与单片机间的数据传输。在本毕业设计中对多路数据采集系统作了初步的研究。本系统主要解决的是怎样进行数据采集以及怎样进行多路的数据采集,并将数据上传至计算机[2]。 1.2 设计思路 多路数据采集系统采用ADC0809模数转换器作为数据采集单元和AT89C51单片机来对它们进行控制,不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高采集数据的灵敏度及指标。通过MAX232电平转换芯片实现单片机与PC 机的异步串行通信,设计中的HD7279实现了键盘控制与LED显示显示功能。本文设计了一种以AT89C51和ADC0809及RS232为核心的多路数据采集系统。 多路数据采集系统就是通过键盘控制选择通路,将采集到的电压模拟两转换成数字量实时的送到单片机里处理从而显示出采集电压和地址值,最终控制执行单片机与PC机的异步串行通信。 连接好硬件后,给ADC0809的三条输入通路通入直流电压。4-F键为功能键,4-E键为复位键,F键为确认键。1-3键为通道选择键,分别采集三个通道的数据值并实时显示出数值和地址值。结合单片机RS232串口功能还实现了与PC机的异

高速数据采集系统设计

高速数据采集系统 设计

基于FPGA和SoC单片机的 高速数据采集系统设计 一.选题背景及意义 随着信息技术的飞速发展,各种数据的实时采集和处理在现代工业控制和科学研究中已成为必不可少的部分。高速数据采集系统在自动测试、生产控制、通信、信号处理等领域占有极其重要的地位。随着SoC单片机的快速发展,现在已经能够将采集多路模拟信号的A/D转换子系统和CPU核集成在一片芯片上,使整个数据采集系统几乎能够单芯片实现,从而使数据采集系统体积小,性价比高。FPGA为实现高速数据采集提供了一种理想的实现途径。利用FPGA高速性能和本身集成的几万个逻辑门和嵌入式存储器块,把数据采集系统中的数据缓存和控制电路全部集成在一片FPGA芯片中,大大减小了系统体积,提高了灵活性。FPGA 还具有系统编程功能以及功能强大的EDA软件支持,使得系统具有升级容易、开发周期短等优点。 二.设计要求 设计一高速数据采集系统,系统框图如图1-1所示。输入模拟信号为频率200KHz、Vpp=0.5V的正弦信号。采样频率设定为25MHz。经过按键启动一次数据采集,每次连续采集128点数据,单片机读取128点数据后在LCD模块上回放显示信号波形。

图1-1 高速数据采集原理框图 三.整体方案设计 高速数据采集系统采用如图3-1的设计方案。高速数据采集系统由单片机最小系统、FPGA最小系统和模拟量输入通道三部分组成。输入正弦信号经过调理电路后送高速A/D转换器,高速A/D 转换器以25MHz的频率采样模拟信号,输出的数字量依次存入FPGA内部的FIFO存储器中,并将128字节数据在LCD模块回放显示。 图3-1 高速数据采集系统设计方案 四.硬件电路设计 1.模拟量输入通道的设计 模拟量输入通道由高速A/D转换器和信号调理电路组成。信号调理电路将模拟信号放大、滤波、直流电平位移,以满足A/D转换器对模拟输入信号的要求。

数据采集系统实验报告

学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 09测控1W 姓 名: 胡建兵 学 号: 09314111 指导教师姓名: 朱 雷 2012 年 11 月 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 数据采集系统实验报告

实验2——A/D采集模块设计 一.实验目的 学习用状态机实现对ADC0809,AD574A等A/D转换器的采样控制。 二.实验原理 图1和图2分别为ADC0809的引脚图,转换时序图和采样控制状态图。时序图中,START为转换启动控制信号,高电平有效;ALE为模拟信号输入选通端口地址锁存信号,上升沿有效;一旦START有效后,状态信号变EOC变为低电平,表示进入状态转换,转换时间约为100us。转换结束后,EOC将变为高电平。此外外部控制可使OE由低电平变为高电平(输出有效),此时,ADC0809的输出数据总线D【7...0】从原来的高阻态变为输出数据有效。由状态图也可以看到,状态st2中需要对ADC0809工作状态信号EOC进行测试,如果为低电平,表示转换没有结束,仍需要停留在st2状态中等待,直到变成高电平后才说明转换结束,在下一时钟脉冲到来时转向状态st3。在状态st3,由状态机向ADC0809发出转换好的8位数据输出允许命令,这一状态周期同时可作为数据输出稳定周期,以便能在下一状态中向锁存器锁入可靠的数据。在状态st4,由状态机向FPGA中的锁存器发出锁存信号(LOCK的上升沿),将ADC0809的输出数据进行锁存。 图2.1 ADC0809工作时序

图2.2 控制ADC0809采样状态图程序如图实例1所示,其结构框图如图3所示。 图2.3 采样状态机结构框图

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

温度采集实验报告

课程设计任务书 题目基于AD590的温度测控系统设计 系(部) 信息科学与电气工程学院 专业电气工程及其自动化 班级电气092 学生姓名刘玉兴 学号090819210 月日至月日共周 指导教师(签字) 系主任(签字) 年月日

摘要 温度是工业生产和自动控制中最常见的工艺参数之一。过去温度检测系统设计中,大多采用模拟技术进行设计,这样就不可避免地遇到诸如传感器外围电路复杂及抗干扰能力差等问题;而其中任何一环节处理不当,就会造成整个系统性能的下降。随着半导体技术的高速发展,特别是大规模集成电路设计技术的发展, 数字化、微型化、集成化成为了传感器发展的主要方向。 以单片机为核心的控制系统.利用汇编语言程序设计实现整个系统的控制过程。在软件方面,结合ADC0809并行8位A/D转换器的工作时序,给出80C51单片机与ADC0908并行A /D转换器件的接口电路图,提出基于器件工作时序进行汇编程序设计的基本技巧。本系统包括温度传感器,数据传输模块,温度显示模块和温度调节驱动电路,其中温度传感器为数字温度传感器AD590,包括了单总线数据输出电路部分。文中对每个部分功能、实现过程作了详细介绍。 关键词:单片机、汇编语言、ADC0809、温度传感器AD590

Abstract Temperature is the most common one of process parameters in automatic control and industrial production. In the traditional temperature measurement system design, often using simulation technology to design, and this will inevitably encounter error compensation, such as lead,complex outside circuit,poor anti-jamming and other issues, and part of a deal with them Improperly, could cause the entire system of the decline. With modern science and technology of semiconductor development, especially large-scale integrated circuit design technologies, digital, miniaturization, integration sensors are becoming an important direction of development. In the control systems with the core of SCM,assembly language programming is used to achieve the control of the whole system.Combining with the operation sequence of ADC0809,the interface circuit diagrams of 80C51 SCM and ADC0809 parallel A/D conveger ale given.The basic skills of assembly language programming based on the operation se—quenee of the chip ale put forward.This system include temperature sensor and data transmission, the moduledisplays

嵌入式系统开发课程-多路数据采集系统设计

嵌入式系统开发课程-多路数据采集系统设计 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

多路数据采集系统设计

1题目要求 所设计的数据采集系统,共有16路信号输入,每路信号都是0~10mV,每秒钟采集一遍,将其数据传给上位PC计算机,本采集地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,芯片用 MAX232。 设计其电路原理图,用C51语言编制工作程序。 2总体方案设计 根据题目要求,传感器首先采集16路信号,然后被多路模拟开关4067选通某一路信号,接着通过信号调理电路,由A/D转换器进行模/数转换后发送给单片机,之后通过MAX232由RS232串口进行通讯,最终将数据传递到上位PC计算机。因此,数据采集系统主要包括以下几个主要环节: 2.1信号选通环节 由于题目要求采集的信号路数达到了16路,每一路信号的流通路线均相同。如果为每路信号都设置相应的放大、A/D转换单元,成本将大幅度提升。因此可以接入一个多路模拟开关4076,轮流选通每一路信号,实现多路信号共用一个运算放大器和A/D转换单元,即降低了成本,又简化了电路。 4067为16路模拟开关,其内部包括一个16选1的译码器和被译码输出所控制的16个双向模拟开关。当禁止端INH置0时,在I/N0-I/N15中被选中的某个输入端与输出公共端X接通,外部地址输入端A、B、C、D决定了被选通端;当INH置1时,所有模拟开关均处于断路状态。 2.2信号调理电路 为了方便信号的进一步传输和处理,一般均要在传感器的输出端接入信号调理电路,对传感器输出的信号进行变换、隔离、放大、滤波等处理。此处的信号波动范围只有0~10mV,属于微弱信号,需要进行放大处理。按照题目要求,本文设计的系统选用运算放大器OP07。OP07是一种高精的度单片运算放大器,其输入失调电压和漂移值均很低,适合用作前级放大器。 2.3A/D转换器 由于单片机只能处理数字信号,所以需要接入A/D转换器将模拟信号转换成数字信号。本文采用题目提供的ADC0809,它可以和单片机直接通讯。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 此处采用中断的方式使数据在单片机与ADC0809之间进行交换,端口地址为 FF50H;P0口和WR信号共同生成单片机的启动转换信号;为了在启动转换的同时选通通道,将通道地址锁存信号ALE与START相连;把P0口和RD同时处在有效位的组

一种高速数据采集系统的研究

第31卷第5期 唐山师范学院学报 2009年9月 Vol. 31 No. 5 Journal of Tangshan Teachers College Sep. 2009 ────────── 收稿日期:2008-12-12 作者简介:李洋(1982-),男,河北衡水人,唐山师范学院基础教育部教师。 -66- 一种高速数据采集系统的研究 李 洋,郭小松 (唐山师范学院 基础教育部,河北 唐山 063000) 摘 要:由于高速数据采集对信号完整性、信号干扰、高速布线及数据处理和高速实时存储要求极高,而其应用环境又往往非常复杂,所以在目前的实际应用中,很难实现一种既能进行长时间高速数据采集、又能进行大容量存储的数据采集系统。在此背景下,提出了一种高速数据采集及存储的解决方案,采用高速FPGA 加嵌入式微处理器作为中央处理器来进行高速数据传输和磁盘阵列数据存储,实现高速数据采集及大容量实时存储。 关键词:数据采集;模数转换;海量存储;RAID0 中图分类号: T N919.5 文献标识码:A 文章编号:1009-9115(2009)05-0066-03 Study of High-Speed Data Acquisition and Storage System LI Yang, GUO Xiao-song (Department of Foundation Education, Tangshan Teachers College, Tangshan Hebei 063000, China) Abstract: Because of the extreme requirements of signal integrity, noise jamming, high-speed layout, high-speed real-time storage and the complex application environments, it is very difficult to realize a high-speed data acquisition system which is suitable for long-time data acquisition and mass storage. Against this background, a solution of high-speed data acquisition and storage system is introduced in this thesis, which is using of high-speed FPGA and embedded microprocessors as the central processing device for high-speed data transfer and data storage of redundant array of inexpensive disks , realized on-time data acquisition and mass storage. Key words: data acquisition; A/D convert; mass storage; RAID 现代工业生产和科学研究对数据采集的要求日益提高,在雷达、声纳、软件无线电、瞬态信号测量等一些高速、高精度的测量中,需要进行高速数据采集。目前,数据采集系统在高速A/D 、D/A 器件发展的带动下,采集带宽在稳步提高,具有100MSPS 采集能力以上的高速数据采集系统产品己较成熟。然而国外厂商的高速采集系统往往都价格不菲,而且由于高速数据采集对信号完整性、信号干扰、高速布线及数据处理和高速实时存储要求极高,国内完全掌握这个技术的厂商并不多,所以在实际应用中,很难找到一种满足需要的高速采集系统。这种情况长期限制了高速数据采集技术在我国工业生产和科学研究中的应用。 在这样的背景下,本文提出一种高速数据采集与实时存储系统的解决方案,解决以往在高速技术、数据存储与传输技术等方面的几个技术难点,采用FPGA 作为核心器件,集成中央逻辑控制及硬盘接口,直接将高速数据存入有多块硬 盘组成的实时RAID 存储系统中,实现了高速采集和实时存储,并可脱机运行。这种方案成本低廉,能提高采集速度,增加系统可靠性,并大大提高可持续采集时间,具有较大的灵活性。 1 总体系统方案硬件设计 高速数据采集系统的主要目的是把采集到的模拟信号转化为数字信号,所以模拟信号进入数据采集系统的第一步就是通过AD 采集电路进行模数转换;采集到的数据为了以后研究调用,就需要存储到存储器中,所以系统的最后一步是使用高速海量存储器对数据进行存储;系统的启动、停止和数据传输的方式还需要使用中央逻辑控制电路,所以在AD 采集电路与高速海量存储器之间增加中央逻辑控制电路来作为AD 采集电路与高速海量存储器之间的桥梁;系统通过人机接口与PC 机连接,可以对数据采集系统进行调试,还方便调用存储数据进行研究测试,并实现

数据采集与传输系统实验报告

(此文档为word格式,下载后您可任意编辑修改!) 数据采集与传输系统 摘要 该数据采集与传输系统以89C51及89C2051为核心,由数据采集模块、调制解调模块、模拟信道、测试码发生器、噪声模拟器、结果显示模块等构成。在本方案中仅使用通用元器件就较好的实现了题目要求的各项指标。其中调制解调模块、噪声模拟器分别采用单片机和可编程逻辑器件实现。本数据采集与传输系统既可对8路数据进行轮检,也可设置为对一路数据单独监控。本系统硬件设计应用了EDA 工具,软件设计采用了模块化的编程方法。传输码元速率为16kHz~48kHz的二进制数据流。另外,还使用了“1”:“01”、“0”:“10”的Manchester编码方法使数据流的数据位减少,从而提高传输速率。

一、方案设计与论证 首先,我们分析一下信道与信噪比情况。本题中码元传输速率为16k波特,而信号被限定在30k~50kHz的范围内,属于典型的窄带高速率数字通信。而信噪比情况相对较好。这是因为信号带宽仅为20kHz,而噪声近似为0~43kHz()的窄带白噪声,这样即使在信号和噪声幅度比值为1:1的情况下,带内的噪声功率仍然比较小,所以系统具有较高的信噪比。 方案一: 常用的数字调制系统有:ASK、FSK、PSK等。其中FSK具有较强的抗干扰能力,但其要求的的带宽最宽,频带利用率最低,所以首先排除。ASK理论上虽然可行,但在本题目中,由于一个码元内只包括约两个周期的载波,所以采用包络检波法难以解调,也不可行。另外,对于本题目,还可以考虑采用基带编码的方法进行传输,如HDB3码,但这种编码方法其抗干扰能力较差,因此也不太适合。 方案二: PSK调制方式具有较强的抗干扰能力,同时其调制带宽相对也比较窄,因此我们考虑采用这种调制方式。为了简化系统,在实际实现时,我们采用了方波作为载波的PSK调制方式。当要求的数据传输速率较低(≤24kbps)时,对原始数据处理的方法如下:

数据采集系统

湖南工业大学科技学院 毕业设计(论文)开题报告 (2012届) 教学部:机电信息工程教学部 专业:电子信息工程 学生姓名:肖红杰 班级: 0801 学号 0812140106 指导教师姓名:杨韬仪职称讲师 2011年12 月10 日

题目:基于单片机的数据采集系统的控制器设计 1.结合课题任务情况,查阅文献资料,撰写1500~2000字左右的文献综述。 近年来,数据采集及其应用技术受到人们越来越广泛的关注,数据采集系统在各行各业也迅速的得到应用。如在冶金、化工、医学、和电器性能测试等许多场合需要同时对多通道的模拟信号进行采集、预处理、暂存和向上位机传送、再由上位机进行数据分析和处理,信号波形显示、自动报表生成等处理,这些都需要数据采集系统来完成。但很多数据采集系统存在功能单一、采集通道少、采集速率低、操作复杂、并且对操作环境要求高等问题。人们需要一种应用范围广、性价比高的数据采集系统,基于单片机的数据采集系统具有实现处理功能强大、处理速度快、显示直观,性价比高、应用广泛等特点,可广泛应用于工业控制、仪器、仪表、机电一体化,智能家居等诸多领域。总之,无论在那个应用领域中,数据采集与处理越及时,工作效率就超高,取得的经济效益就越大。 数据采集系统的任务,就是采集传感器输出的模拟信号转换成计算机能识别的信号,并送入计算机,然后将计算得到的数据进行显示或打印,以便实现对某些物理量的监测,其中一些数据还将被生产过程中的计算机控制系统用来控制某些物理量。 数据采集系统的市场需求量大,特别是随着技术的发展,可用数据器为核心构成一个小系统,而目前国内生产的主要是数据采集卡,存在无显示功能、无记忆存储功能等问题,其应用有很大的局限性,所以开发高性能的,具有存储功能的数据采集产品具有很大的市场前景。 随着电子技术的迅速发展,,一些高性能的电子芯片不断推出,为我们进行电子系统设计提供的更多的选择和更多的方便,单片机具有体积小、低功耗、使用方便、处理精度高、性价比高等优点,这些都使得越来越广泛的选用单片机作为数据采集系统的核心处理器。一些高性能的A/D转换芯片的出现也为数据采集系统的设计提供了更多的方便,无论是采集精度还是采样速度都比以前有了较大的提高。其中一些知名的大公司如MAXIM公司、TI公司、ADI公司都有推出性能比效突出的 A/D转换芯片,这些芯片普通具有低功耗、小尺寸的特点,有些芯片还具有多通道的同步转换功能。这些芯片的出现,不仅因为芯片价格便宜,能够降低系统设计的成本,而且可以取代以前繁琐的设计方法,提高系统的集成度。 数据采集器是目前工业控制中应用较多的一类产品,数据采集器的研制已经相当成熟,而且数据采集器的各类不断增多,性能越来越好,功能也越来越强大。 在国外,数据采集器已发展的相当成熟,无论是在工业领域,还是在生活中的应用,比如美国FLUKE公司的262XA系列数据采集器是一种小型、便携、操作简单、使用灵活的数据采集器,它既可单独使用又可和计算机连接使用,它具有多种测量

51单片机数据采集系统[1]

课程设计报告书 设计任务书 一、设计任务 1一秒钟采集一次。 2把INO口采集的电压值放入30H单元中。 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1. 采用8051和ADC0809构成一个8通道数据采集系统。 2. 能够顺序采集各个通道的信号。

3. 采集信号的动态范围:0~5V。 4. 每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D转换器循环采样模拟电压,每隔一定时间去采样一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。 目录 第一章系统设计要求和解决方案 第二章硬件系统 第三章软件系统 第四章实现的功能 第五章缺点及可能的解决方法 第六章心得体会

附录一参考文献 附录二硬件原理图 附录三程序流程图 第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如图1-1所示

信号采集分析 被测电压为0~5V 直流电压,可通过电位器调节产生。 信号采集 多路数据采集系统多采用共享数据采集通道的结构形式。 数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地址可随意选择,控制多路传输门开启的通道地址码由存储器中读出的指令确定。即改变存储器中的指令内容便可改变通道地址。 由于顺序控制数据采集方式 缺乏通用性和灵活性,所以本设计中选用程序控制数据采集方式。 采集多路模拟信号时,一般用多路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是 图1-3 程序控制数据采集原理 图1-1 一般系统框图

数据采集AD转换实验报告

学生实验报告册 课程名称:___________________________________ 学院:______________________________________ 专业班级:___________________________________ 姓名:______________________________________ 学号:______________________________________ 指导教师:___________________________________ 成绩:______________________________________ 学年学期:2017-2018学年秋学期 重庆邮电大学教务处制

STAB! CUt OK ⑵ ADC0809引脚结构 ADC0809各脚功能如下: D7 ~ D0 : 8位数字量输出引脚。IN0 ~ IN7 : 8位模拟量输入引脚。 VCC +5V工作电压。GND地。 REF( +):参考电压正端。REF(-):参考电压负端。 START A/D转换启动信号输入端。 ALE地址锁存允许信号输入端。(以上两种信号用于启动A/D转换). EOC转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。 OE输出允许控制端,用以打开三态数据输出锁存器。 CLK时钟信号输入端(一般为500KHZ。 A B、C:地址输入线。 ⑶ADC0809对输入模拟量要求: 信号单极性,电压范围是0- 5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。 地址输入和控制线:4条 ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B, C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0 —IN7上的一路模拟量输入。通道选择表如下表所示。

多路数据采集与处理

第21卷 第2期韶关大学学报(自然科学版)Vol121 No12 2000年4月Journal of Shaoguan University(Natural Science)Apr12000 多路数据采集与处理 陆 英, 郝宁生 (韶关大学机电系,广东韶关 512003) 摘要:本文介绍了基于8031单片机的多路数据采集和处理系统,以及在大棚温度、湿度控制管理系统中 的应用。给出了部分系统硬件框图和部分主要的软件流程图。 关键词:单片机;温度;湿度;数据采集 中图分类号:TP2 文献标识码:A 文章编号:1007-5348(2000)02-0066-05 随着现代农业的发展,在农业生产中利用大棚种植农作物已比较普遍,对大棚的自动化管理已是现代农业的发展趋势。在大棚生产中,需要根据当时的温度和湿度来决定是否需要进行喷灌、遮阴、通风等管理。然而在传统生产中存在以下问题:(1)在农作物播种和移载期不能满足对温度和湿度进行严格控制的要求,导致出苗不齐、生长缓慢,严重时甚至会造成死亡。(2)只能根据经验来管理生产,不利于农作物的生长。因此,迫切需要一种适合大棚生产要求的自动控制装置。作者针对这一问题,设计了一套单片机数据采集与处理系统。 1 微机控制系统的硬件设计 在生产管理中,不仅要求根据不同的作物作不同的控制,而且还需要根据各种作物的不同生长期所需的温度、湿度设置不同的控制参数,同时要能显示设定值和实际测量值,以便核对和更改。当控制系统工作正常而机构出现故障时,就有可能造成实测参数超出设定值的范围而无法进行有效控制。此时,必须要报警。根据这一设计思想,设计了图1所示的计算机控制系统。 该系统采用了8031单片机作为控制、计算核心,2764作为程序存贮器,扩展一片8155作输出,同时扩展6264作为数据存贮器,A/D转换选用0809,键盘和显示部分用Intel公司为8位微处理器设计的通用键盘/显示器接口芯片8279,设计有20个键可供使用,8位数码管进行显示。 2 数据采集系统 本系统对8路模拟量进行采集,其中四路为温度,另四路为湿度。主要考虑到:(1)当大棚比较大时,增加测量点,减少测量误差;(2)为以后大棚向种植、养禽、孵化多用大棚发展打下基础。8路温度、湿度经变送放大后,送0809进行A/D转换。我们采用的数据采集方式:依次对每一路的数据采样8次,然后进行平均值滤波,以消除随机干扰造 收稿日期:1998-07-07 作者简介:陆英(1965-),女,江苏海门人,韶关大学机电系副教授,主要从事电子技术和单片机的开发研究。 郝宁生(1963-),男,黑龙江牡丹江人,韶关大学机电系工程师,主要从事电子技术和单片机的开发研究。

相关文档
最新文档