(袁建军)电子设计自动化期末试题答案及评分标准电0843,0844,0845

(袁建军)电子设计自动化期末试题答案及评分标准电0843,0844,0845
(袁建军)电子设计自动化期末试题答案及评分标准电0843,0844,0845

宝鸡理工学校09—10学年度第一学期期末考试答案及评分标准

教材版本:李新平、郭勇《电子设计自动化技术》教育部规划教材高教出版社

考试科目电子设计自动化技术范围绪论第一章—第七章时间90分钟

考试班级电信0843、44、45 形式闭卷,理实一体化命题人袁建军

一、填空题(每空1分,共10分)

1.(1)CAD;(2)CAM;(3)CAT;(4)EDA。

2.(1)电子工作台;(2)设计规则校验(检查);

(3)印制(电路)板;(4)双列直插式(集成块)封装。

3. DIN

4.网络表。

二、单项选择题(每题2分,共10分)

1. ( A )

2. ( C )

3.( B )

4.( C )

5.( B )。

三、判断正误并改错题(每题2分,共10分)

1.(√)

2.(╳)

后面改为应该使用Move子菜单中的Drag命令。

3.(╳)

后面改为呈“丁”字交叉的导线,在交叉处会自动放置节点。或前面不变,后面改为不会自动放置节点4.(╳)

后面改为可输入Y\。

5.(√)

四、操作题(共70分)

1. 电路设计与仿真分析(20分

)

输入为正弦波,输出为稳定直流电,输出电压根据实际显示,只要正确就行。正确画图,接入仪器,给14分,填表6分,每空2分。

2. 设计制作下面图示的双列直插式元器件DIP8(10分)

手工:边框4分,焊盘4分,尺寸2分。

向导:从元器件选择开始每步2分。

3. 电路原理图设计与印制板制作(40分)

(1)绘制图示的单管放大电路(30分)

图纸尺寸2分,元器件调用正确、位置放置适当6分,元器件参数(含编号,阻值,型号,封装)标注正确12分,正确连线4分, ERC校验,网络表和元器件清单各2分,不存盘不给分。

(2)制作该放大电路的印制板(10分)

印制板的尺寸设置2分,元器件分局合理,布线合理4分,线宽设置正确2分,在输入端、输出端、电源端和地线端的焊盘放置正确2分,不存盘不给分。

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

电子设计自动化技术及其应用简述

龙源期刊网 https://www.360docs.net/doc/e76884936.html, 电子设计自动化技术及其应用简述 作者:张彦超 来源:《科技创新与应用》2013年第07期 摘要:随着计算机和电子技术的广泛应用,电子工程的发展已经呈现出超速发展的态势。其中,EDA技术成为了现如今电子工程技术之中的一个重要科学技术。文章主要阐述了EDA 技术的概念、特点、作用以及其设计的领域。 关键词:EDA;自动化;硬件设计 1 电子设计自动化技术的基本含义及现状 电子设计自动化(Electronic Design Automation,EDA)技术是以计算机科学和微电子技 术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从上个世纪中叶开始,相关的科学技术人员相继研发出种类繁多的计算机辅助设计工具,通过这些工具帮助设计研究人员完成电子系统和集成电路的作业设计,也正是因为这样,EDA技术的发展在集成电路飞速发展的严峻形势下,也不得不完成自身技术的创新 与飞跃,为更大规模庞大的集成电路系统设计与制造提供更多的支持,以此来适应社会生活和生产的需要。 EDA是从20世纪60年代中期的计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念中中发展过来的,它是电子设计自动化的缩写。EDA技术就是以计算机作为主要工具,在EDA软件的工作平台上,设计者使用硬件描述语言VHDL来进行设计,而后由计算机自动的完成逻辑编译、化简、分割、综合、 优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。这一技术是电子计算机、微电子操作技术、电路知识、信息收集分析和信号处理等多项技术的结晶。 如今对EDA概念的诠释延伸了很多领域,不仅仅局限于某一行业内。在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等领域内,都会发现有EDA技术的身影。EDA在教学、科研、产品设计与制造等多个方面都能够发挥出其重要的作用。在教学方面, 现在EDA课程几乎都要遍及到所有理工科类的高等院校,是一项热门的科学专业。学校传授的EDA技术,主要是要让学生认识、了解EDA的基本概念和基本的理论,能够掌握运用HDL语言编写简单的规范、了解掌握逻辑综合的理论和算法,能够运用EDA技术平台独立进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造

电子设计自动化在电子设计中的应用

电子设计自动化在电子设计中的应用 发表时间:2017-01-09T14:50:10.380Z 来源:《基层建设》2016年27期作者:黄本锐 [导读] 利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 广西地凯科技有限公司广西南宁 530003 摘要:在电子设计自动化(EDA)工程中,用硬件描述语言表达设计思想,用计算机进行模拟、仿真,可测试设计把测试器件设计到芯片系统内部,实现了内建自测试功能。利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 关键词:电子设计自动化;电子设计;应用 前言 以往电子产品的设计方法为:设计项目经过工程估算,实验板搭试、调整,印刷电路板设计与制作,装配与调试,用逻辑分析仪、示波器等电子仪器进行性能测试,若测试不符合设计要求则从头开始,进行新一轮的设计开发过程,直到达到设计要求。这种循环有时需要3-4次,整个过程全由人工完成,使得新产品的开发周期很长。EDA工程的出现,现代电子设计方法的应用,加快了产品开发速度。可以说现代电子设计技术的核心就是EDA工程,它的出现促进了电路模拟技术的发展。 1、EDA技术概述 1.1 EDA技术特征 概括来说,EDA的主要特征是:硬件采用工作站和高档微机;软件采用EDA 212具,功能包括:原理图输入、硬件描述语言输入、波型输入、仿真设计、可测试设计、逻辑综合、形式验证、时序分析等各个方面。设计方法采用自顶向下的方法,设计工作从高层开始,使用标准化硬件描述语言(VHDL)描述电路行为,自顶向下跨过各个层次,完成整个电子系统设计。 此外EDA还提供了良好的逻辑综合与优化功能,它能够将设计人员设计的逻辑级电路图自动地转换为门级电路,并生成相应的网表文件、时序分析文件和各种报表,若设计没有错误,最终生成可以编程下载的文件。 1.2 EDA的技术优势 一是用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期[1]。 二是EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机,就能对所设计的电子系统从各个不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后.还能对系统上的目标器件进行所谓边界扫描测试。 三是开发技术标准化、规范化,具有良好的可移植与可测试性。EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变。 2、EDA在电子设计中的设计思路 2.1电路设计 设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等。其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。 仿真通过后,根据原理图产生的电路连接网络表进行印刷电路板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图;进行第三次仿真,称之为后仿真。其作用是检验PCB在实际工作环境中的可行性。 2.2系统级设计 基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量、成本、开发周期等因素。第一步从系统方案设计入手,在项层进行系统功能划分和结构设计;第二步用VHDL、Vcrilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级电路网络表,这是将高层次描述转化为硬件电路的关键:第五步将利用产品的网络表进行适配前的时序仿真;最后是系统的物理实现级,它可以是CPLD、FPGA或ASIC[2]。 3、EDA在电子设计中的应用 3.1源程序的编辑和编译 利用EDA技术进行一项电子工程设计,首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式或图形方式表达出来,进行排错编译,变成VHDI。文件格式,为进一步的逻辑综合做准备。常用的源程序输入方式有三种:一是原理图输入方式:利用EDA工具提供的图形编辑器以原理图的方式进行输入,原理图输入方式比较容易掌握,直观且方便。 二是状态图输入方式:以图形的方式表示状态图进行输入。当填好时钟信号名、状态转换条件、状态机类型等要素后,就可以自动生成VHDL程序。这种设计方式简化了状态机的设计,比较流行。 三是VHDL软件程序的文本方式:最一般化、最具普遍性的输入方法,任何支持VHDL的EDA工具都支持文本方式的编辑和编译。 3.2逻辑综合和优化 所谓逻辑综合,就是将电路的高级语言描述(如HDL原理图或状态图形的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件。逻辑映射的过程,就是将电路的高级描述,针对给定硬件结构组件,进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。而网表文件就是按照某种规定描述电路的基本组成及如何相互连接的关系的文件。 3.3目标器件的布线/适配 适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。对于一般的可编程模拟器件所对应的EDA软件来说,一般仅需包含一个适配器就可以了,如Lattice的PAC—DESIGNER。通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商自己提供,因为适配器的适配对象直接与器件结构相对应[3]。

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

电子设计自动化技术教学计划

《电子设计自动化技术》 课程教学计划 (90学时) 一、课程性质和任务 本课程是我校主干专业《电子电器应用与维修》专业的新课程。其任务是:使学生掌握电子设计自动化技术的基础知识,具备电子线路方面的计算机应用能力、电路的基本仿真设计能力和印制线路板的设计能力,并为培养学生的创新能力和全面素质打下良好的基础。 二、课程教学目标 (一) 知识教学目标 1. 理解电子设计自动化(EDA) 技术的基本概念。 2. 了解电子行业应用EDA技术的概况。 3. 进一步理解电子线路的电路结构、原理和特性。 4. 了解印制线路板的设计原则和制作工艺。 (二) 能力培养目标 1. 能正确使用EDA工具软件。 2. 能绘制符合规范要求的电原理图和印制线路板图。 3. 会对简单的电子线路进行仿真设计。 (三) 思想教育目标 1. 具有规范操作的意识和认真细致的工作作风。 2. 具有理论联系实际的能力和一定的创新精神和创业能力。 三、教学内容和要求 基础模块 (一) 绪论 1. 了解EDA技术的基本概念、发展与应用概况,了解常用EDA软件,了解本课程的主要内容和学习方法。 2. 了解电路仿真和PCB辅助设计在EDA技术中的作用和地位。 (二) 电路仿真软件基础 1. 了解仿真软件基本界面和帮助信息。 2. 掌握文件打开与建立、元器件操作、连线、文件存盘与退出、查看分析结

果和电路图输出等基本操作。 3. 理解子电路的含义及功能,掌握子电路的设计和使用方法。 (三) 仿真虚拟仪器使用 1. 了解数字万用表、函数信号发生器、示波器、扫频仪、信号发生器、逻辑分析仪和逻辑转换仪等虚拟仪器的面板功能。 2. 掌握电路仿真虚拟仪器的参数设置、连接、显示调整以及测量结果记录等方法。 (四) 电路仿真分析与设计 1. 掌握仿真元件参数的设置方法及器件的替换方法。 2. 了解仿真软件的重要分析方法对电路设计的作用。 3. 掌握静态工作点的分析、AC分析、瞬态分析、参数扫描分析等常用分析方法。 4. 了解常用器件建模过程,了解在互联网上获取新器件仿真模型的方法,并将其引入仿真软件中。 5. 了解晶体管、常用模拟集成电路、数字集成电路的建模方法及过程,了解常用新器件的模型收集方法。 6. 了解仿真结果的应用方法。 7. 了解将仿真结果进行再处理和应用到技术文档中的方法。 8. 了解电路网络表文件与印制线路板设计之间的联系,加深对EDA技术的理解。 (五) 印制线路板基础知识 1. 了解印制线路板的基本概念、种类和制作工艺。 2. 了解印制线路板设计的基本过程。 3. 理解印制线路板布局原则。 4. 理解印制线路板布线原则。 (六) 原理图编辑 1. 了解原理图设计软件的基本界面和各种编辑器。 2. 掌握原理图设计软件的启动、文件管理、工作环境设置。 3. 掌握原理图设计软件的电路元件、电源、I/O端口的放置与连接。 4. 理解总线、网络标号的概念,掌握总线的应用。 5. 理解层次图的概念及作用,掌握层次图的应用。 6. 理解电气规则检查的作用,掌握电气规则检查的方法。 7. 理解网络表文件的概念和作用,掌握网络表文件和元件清单的生成方法。 8. 掌握元件的设计方法。 9. 了解原理图输出参数的设置,掌握原理图的输出方法。 10. 学会原理图编辑的文件操作、元件调用和连线操作。 11. 学会总线和网络标号的应用。 12. 能绘制原理图。 (七) PCB设计软件 1. 了解印制线路板的设计流程。 2. 理解原理图、网络表和PCB元件之间的匹配问题。 3. 掌握网络表文件的修改方法。 4. 理解印制线路板的设计规则,掌握印制线路板的设计基本规则。 5. 掌握印制线路板手工布线的方法。

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

(完整版)山东大学电子设计自动化试卷3

五、VHDL程序设计:(16分) 设计一数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。 (a) 用if语句。(b) 用case语句。(c) 用when else语句。 Library ieee; Use ieee.std_logic_1164.all; Entity mymux is Port ( sel : in std_logic_vector(1 downto 0); -- 选择信号输入 Ain, Bin : in std_logic_vector(1 downto 0); -- 数据输入 Cout : out std_logic_vector(1 downto 0) ); End mymux; 六、根据原理图写出相应的VHDL程序:(10分)

七、综合题(20分) 下图是一个A/D 采集系统的部分,要求设计其中的FPGA 采集控制模块,该模块由三个部分构成:控制器(Control )、地址计数器(addrcnt )、内嵌双口RAM (adram )。控制器(control )是一个状态机,完成AD574的控制,和adram 的写入操作。Adram 是一个LPM_RAM_DP 单元,在wren 为’1’时允许写入数据。试分别回答问题 放大 采样/保持AnalogIn 信号预处理FPGA采集控制 adram (lpm_ram_dp)Control AD574CS ADData 12 CE A0 RC K12_8地址 计数器 CLK ClkInc Cntclr wraddr 10wren rddata 12rddata rdaddr 10 121STATUS 下面列出了AD574的控制方式和控制时序图 AD574逻辑控制真值表(X 表示任意) CE CS RC K12_8 A0 工 作 状 态 0 X X X X 禁止 X 1 X X X 禁止 1 0 0 X 0 启动12位转换 1 0 0 X 1 启动8位转换 1 0 1 1 X 12位并行输出有效 1 0 1 0 0 高8位并行输出有效 1 1 1 低4位加上尾随4个0有效 AD574工作时序: 问题: 1. 要求AD574工作在12位转换模式,K12_8、A0在control 中如何设置? 2. 试画出control 的状态机的状态图 3. 地址计数器每当ClkInc 时钟上升沿到达,输出地址加1,请对该模块进行VHDL 描述。 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity cnt10 is Port ( ClkInc, Cntclr : in std_logic; -- 时钟信号和清零信号输入 Wraddr : out std_logic_vector (9 downto 0) ); End cnt10;

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

电子科技大学2014年算法分析与设计评分规则 (新)

第 1 页 共 8 页 电子科技大学研究生试卷 (考试时间: 至 ,共 小时) 课程名称 算法分析与设计 教师 学时 学分 教学方式 考核日期 年 月 日 成绩 考核方式: (学生填写) 一、判断下列陈述的对错(共20分,共 10题,每题2分) 1. 一个计算问题的输入是n 个数字a 1,a 2,…,a n 。如果这个问题存在一个运行时间为O(a n n 10)的算法,则这个问题可以在多项时间内被计算机求解。 ( ╳ ) 2. 如果存在一个从问题A 到问题B 的多项式时间归约(Polynomial reduction ),且问题A 是NP 难的,则可知问题B 也是NP 难的。 ( ╳ ) 3. 一个2倍的近似算法一定会有在一个问题上得到正好是最优解的两倍的解。 ( ╳ ) 4. 如果存在一个NP 问题有多项式时间算法,则P=NP 。 ( ╳ ) 5. 一个图上的最大网络流是唯一的。 ( ╳ ) 6. 当图中的顶点个数是常数时,最大独立集问题(Maximum Independent Set Problem )是多项式时间可解的. ( √ ) 7.这里有两个解决排序问题的分而治之算法:算法A 递归将需要排列的数字均分成2份,分别排序后再合并。算法B 递归将需要排列的数字均分成3份,分别排序后再合并。从渐进分析的角度来看,算法B 比算法A 要快。 (╳ ) 8. 在并行计算中,一个计算问题能在CREW PRAM 模型下O(n)处理器O(n 3)时间被解决,则也可以在EREW PRAM 模型下O(n)处理器O(n 3)时间被解决. (√ ) 9. 对于任意一个动态规划算法,其使用的空间一定不比它使用的时间要大。 (√ ) 10. 求一个图中两个点间最长路径的问题是属于NP 的,但是求一个图中两个点间最短路径的问题则不是属于NP 的。 (╳ ) 学 号 姓 名 学 院 ……………………密……………封……………线……………以……………内……………答……………题……………无……………效……………………

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

电子设计自动化(EDA)期末必考题目汇总

电子设计自动化期末必考题目汇总 1、EDA的英文拼写:electronic design automation 2、Eda的设计方法:两种一种为由底向上的设计方法即传统的设计方法,一种是自顶向下的设计方法即现代设计方法。 3、自顶向下分为三个阶段:系统设计、系统的综合优化、系统的实现 4、系统的设计流程主要包括:系统的功能分析、系统的结构设计、系统的描述、系统的功能仿真。 5、适配器:结构综合器,功能是将由综合器产生的网表文件配置与指定的目标器件中,使之产生最终的下载文件中。 6、FPGA芯片对应的是:sof文件,CPLD芯片对应的是pof文件 7、FPLD:现场可编程逻辑器件,FPGA:现场可编程门阵列,CPLD:复杂现场可编程逻辑阵列 8、可编程逻辑器件分为:FPGA和CPLD其中CPLD断电后不丢失。 9、基于乘积项的PLD分为三部分:宏单元、PIA、I/O控制板10、quartus软件的波形文件名为.vwf 11、VHDL语言的特点: (1)、VHDL是工业标准的文本格式语言。 (2)、具有强大的描述能力。 (3)、VNDL能同时支持方针和综合。 (4)、VHDL语言是并发执行的语句 (5)、VHDL支持结构化设计和top-down设计方法(6)、VHDL的描述与工艺无关 12、STD-logic型数据能够在数字器件中实现的只有四种即“-”、“0”、“1”、“z”但这并不表明其他5种值不存在,这9种值对于VHDL的行为仿真都有重要意义。 13、用户自定义的数据类型:枚举类型、整数类型、数组类型(所有的值必须一样)、记录类型(值得类型可以不一样)、事件类型、实数类型。 14、数据类型转换分为两种:类型转换函数方式、直接类型转15、换方式常量说明语句所允许的设计单元有:实体,结构体、程序包、块、进程、子程序 16信号与变量的区别: (1)、赋值语句的不同,信号赋值用“《=”而变量为“:=” (2)、通常变量的值可以给信号赋值但信号的值却不能给变量赋值

eda 电子设计自动化

一、如图1所示,电路为二级电压串联负反馈的放大电路,其中Vs为V AC/SOURCE,其属性设置为默认值。三极管Q2N3904的模型参数为默认值。试用EWB软件作如下的分析:(1)求直流工作点;(2)求无负反馈(即无电阻Rf)时的输入电阻、输出电阻、电压增益和上限截止频率;(3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度,并总结反馈深度对放大电路性能的影响。(25分) Re1 300Rb4 20k Rs 200 Rf 6.2k Vcc 12Vdc Ce2 10u Ce1 10u R5 5k Q2 Q2N3904 Cb2 2.2u Rb3 300k Vout Q1 Q2N3904 Vs 1Vac 0Vdc Cb1 2.2u Vin Re2 680 Rb1 300k Cb3 2.2u Re3 820 Rc1 5.1k R2b 20k Rc2 5.1k 解:(1)直流工作点 由图知:I CQ1=124.345uA I CQ2=140.332uA 计算知:U CEQ1=V CC-I CQ1(R C1+R E1+R E2)=11.244V

U CEQ2=V CC-I CQ2(R C2+R E3)=11.189V (2)无负反馈时的输入电阻、输出电阻、电压增益和上限截止频率 a、输入电阻 由图知:Ui=9.865mA Ii=673.469nA 计算得:Ri=Ui/Ii=14.6kΩ b、输出电阻 由下页图知:Uo=11.665pV Io=2.334fA 计算得:Ro=Uo/Io=5kΩ

C、电压增益 d、上限截止频率 查书得:f=1/(2×3.14×Rs×C)=3.6MhzMhz Rs=200Ω C=2.2uF (3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度

电子系统设计期末复习试题-(1)

试卷1 一、填空题(共10分,每题2分) 1.OC门的输出端可并联使用,实现_线与_功能。 2.请列出至少三个电阻器的主要特性参数:标称阻值、允许误差、额定功率、温度系数、噪声等。 3.请列出至少三个电容器的用途:隔直流、旁路(去耦)、耦合、滤波、调谐、储能等。 4.在以AT89C51单片机为核心的系统设计中,通常在其I/O口上加上拉电阻,该上拉电阻阻值一般选为_10~100kΩ_。(10K算正确) 5.在应用电路中,通常在电磁继电器的线圈端并联一个二极管,该二极管的功能是_保护该线圈_。(续流或蓄流也算正确) 二、选择题(共10分,每题2分) 1.某数/模转换器的输入为8位二进制数字信号(D7~D0),输出为0~25.5V的模拟电压。 若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为( B )。 A、 1V B、 0.1V C、 0.01V D、 0.001V 2.欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是( B )。 A、5 B、6 C、8 D、43 3.在下列逻辑电路中,不是组合逻辑电路的有( D )。 A、译码器 B、编码器 C、全加器 D、寄存器 4.欲使容量为128×1的RAM扩展为1024×8的RAM,则需控制各片选端的辅助译码器输出端个数为( D )。 A、5 B、 6 C、7 D、8 5.在单片机应用系统中,为实现单片机与被控对象的隔离,可以采用的器件是( A )。 A、固态继电器 B、三极管放大电路 C、时序逻辑电路 D、D 触发器 三、电路分析题(共20分,每题10分) 1. 请仔细阅读如下图的电路,简述各运放的功能,并写出Vo1、Vo2、Vo的表达式。(10分) 参考答案:A1为反相加法电路(2分),A2为同相缓冲电路(2分),A3为减法电路(2分)。 Vo1=-(VI1+VI2+VI2);(1分) Vo2=VI4(1分) Vo=2Vo2-Vo1=2VI4+VI1+VI2+VI2(2分)

电子设计自动化技术课件答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

电子设计自动化学习心得范文

电子设计自动化学习心得范文 通过这一周的课程设计,我对一些专业知识和电子设计有了更深的了解,同时也尝试着去应用自己的所掌握的知识。本次电子课程设计主要是对本学年学习的模拟电子技术和数字电子技术的应用,同时加上电路等知识,设计一些课题。下面就是带来的电子设计自动化学习心得,希望大家喜欢。 电子设计自动化学习心得1 随着科学飞速发展,电气自动化在日常生活工作中的地位日益提高,电子的高度集成、电气设备的飞速更新,使得我在工作中的专业知识很难跟上科技的步伐,通过黑龙_0_年度专业技术人员继续教育知识更新的培训学习的不同课程,使我的专业知识得到巩固和提高,在工作中遇到的技术难题的以解决,也让我深刻体会到电气自动化对人类工业发展的重要性,在这次培训中我所体会的心得如下: 20世纪科学技术迅速发展,至如今已经涌现出众多新兴科学技术分支:计算机和信息论;如控制论和自动化技术;分子生物学和遗传工程;激光技术和光导纤维;宇航科技等等。它们汇聚成一股巨大的力量,急剧地改变着人类的劳动方式和生活方式,促进社会各方面产生深刻的变化。它不仅冲击着生产第一线的工人

和农民,而且冲击着企业、事业、政府机关,甚至家庭主妇。这些变革来得如此之快,致使对社会现象最为敏感的社会学家也感到愕然:这个社会将去向何处?从科学意义上来看,人类社会无一不是能量交换和信息交换的有机组合,当我们详尽地了解了人类社会各种具体的特殊规律之后,都可以用具有这两种功能的机器来完成,这便是自动化技术。所以从某种意义上来说,自动化就是现代化的代名词。由此可以断言,人类社会历经原始的人力时代,走过精密的机械时代,如今正处于一个新的变革时期,而这次变革的终点便是振奋人心的自动化时代。之所以说它“振奋人心”,是因为在自动化时代里,几乎所有的生产活动都可以用机器来完成,人类劳动生产率将得到大大的提高,社会财富将极大丰富。只有在此时,人类才能摆脱为了糊口而不得不从事的强迫劳动,生产力得到全面的解放,使实现共产主义真正成为可能。 我国古代的指南车、木牛流马、铜壶滴漏,欧洲的钟表报时装置和一些手工机械,无一不反映人民的聪明智慧,多少都带有一些“自动”的味道。但真正刻意设计出来取代或增强人的智能功能,从而能在不确定的条件下保证实现预定目标的自动装置最早应属瓦特发明的蒸汽机上的离心调速器。它自觉地运用了反馈原理,从而能在锅炉压力和负荷变化的条件下把转速保持在一定的范围。

电子设计大(高级组)

电子设计大赛(高级组) 一、参赛队 每支参赛队由一名指导老师和三名学生组成。 二、竞赛题目 竞赛采用五套题目,参赛的队可在以下ABCDE五道题目中任选一题作为参赛题目 宽带放大器(A题) 一、任务 设计制作一个5V单电源供电的宽带低噪声放大器,输出为50Ω阻性负载。 二、要求 1.基本要求 (1)放大器电压增益≧40dB(100倍),并尽量减小带内波动; (2)在最大增益下,放大器下限截止频率不高于20Hz,上限截止频率不低于5MHz; (3)在输出负载上,放大器最大不失真输出电压峰峰值≥10V。 2.发挥部分 (1)在达到40dB电压增益的基础上,提高放大器上限截止频率,使之不低于10MHz; (2)尽可能降低放大器的输出噪声; (3)放大器输入为正弦波时,可测量并数字显示放大器输出电压的峰峰值和有效值,输出电压(峰峰值)测量范围为0.5~10V,测量相对误差小于5%; (4)其他。

小车随动系统(B题) 一、任务 设计并制作一个能够检测并跟踪主人的“哈巴”小车系统。 二、要求 1.基本要求 (1)小车与主人初始相距3m,小车能在60s内平稳靠近主人; (2)小车停在离主人1m处,误差±20cm; (3)主人缓慢走动,小车能实时跟踪主人,之间距离保持1m±30cm之内。 2.发挥部分 (1)小车与主人初始相距3m以上,在小车与主人之间2m处设置障碍,障碍物不小于20*20*20 cm3,小车能在60s内平稳靠近主人; (2)小车停在离主人1m处,误差±5cm; (3)主人快速走动,小车能实时跟踪主人,之间距离保持1m±20cm之内。 (4)其他。

立体声音频功率放大器(C题) 一、任务 设计并制作一个立体声音频功率放大器。 二、要求 1.基本要求 在放大通道的正弦信号输入电压幅度为500mV~1V,等效负载电阻R L=8Ω时,放大器应满足: (1)额定输出功率(单通道)P O≥10W(可使用集成功率放大电路或大功率晶体三极管); (2)频率响应:f L=20Hz,f H=20kHz; (3)在满足P O和频率响应下的谐波失真≤3%; (4)在输出功率为10W时,效率≥50%。 2.发挥部分 (1)两输入音源可用按键切换; (2)具有音量、音调控制功能; (3)在满足P O和频率响应下的谐波失真≤2%; (4)其他。

相关文档
最新文档