HFSS实例入门与复杂机构建模

HFSS实例入门与复杂机构建模
HFSS实例入门与复杂机构建模

1.1.1HFSS实例入门

1.1.1.1 创建和分析T型波导实例

此例子是建立一个T型波导摸型,利用HFSS软件求解、分析、观察T型波导的场分布情况。该例基本包括HFSS所有主要设计流程,其设计步骤如下:

1.创建工程

(1)打开HFSS并保存新工程

运行HFSS软件后,软件自动创建一个新工程即Projectl,并包含一个名称为HFSSDesign1的设计。由主菜单选File > Save保存在用户设定的路径及文件夹内,并重新命名为T_waveguide。

(2)重新命名HFSS设计

在工程树中选择HFSSDesign1,点击右健,选择Renamne 项,将设计命名改为T_waveguide_design1。整个工程设计窗口如图错误!文档中没有指定样式的文字。-1显示。

图错误!文档中没有指定样式的文字。-1 工程设计窗口

图错误!文档中没有指定样式的文字。-2 设置求解类型

(3)选择求解类型

主菜单中选择HFSS > Solution Type,在弹出对话框中选择Driven Modal项,如图错误!文档中没有指定样式的文字。-2所示。

(4)设置单位

主菜单中选3D Modeler > Units,在Set Medel Units对话框中选择in项。

2.创建模型

(1)创建长方体

绘制一个长方体:主菜单中选Draw > Box或在工具栏中点击工具按钮,按下Tab键切换到参数设置区(在工作区的右下角),长方体的基坐标(x,y,z)为(0,-0.45,0),数据输入时用Tab 键左右移动,按下Enter 键确认后,输入长方体的长和宽( dx,dy,dz)为(2, 0.9,0),再按下Enter 键确认,输入高度(0, 0,0.4),再按下Enter 键确认。注意:在设置未全部完成时不要在绘图区中点击鼠标!

定义长方体属性:设置完几何尺寸后,自动弹出该长方体的属性对话窗。选择Arrtibute 标签页,将Name项改为介Tee,Material项保持为Vaccum不变,点击Transparent项数值条,在弹出窗口移动滑条使其值为0.6,提高透明度。设置完毕后.按Ctrl+D键,将长方体适中显示,如图错误!文档中没有指定样式的文字。-3(a)所示。

(a)(b)

图错误!文档中没有指定样式的文字。-3 (a)所建长方体;(b)设置波端口

定义波形端口:按下F键转换到面选择状态,选中长方体平行于yZ面、x = 2的平面,再点右键,选择Assign Excitation > Wave Port项,弹出Wave Port设置对话框,输入名称WavePortl,点击Next;点击Integralion Line项选New Iine,则提示绘制端口,在绘图区该面的下边缘中部即(2,0 ,0)处点左键,确定瑞口起始点,再选上边缘中部即(2,0,0.4)处,作为端口终点。此时弹出Wave Port设置对话框,默认设置,点Next, 点Finish 结束。在工程树中选设计T_waveguide_design1内的Excitations项中的WavePortl端口,可选中该端口,如图错误!文档中没有指定样式的文字。-3(b)所示。

提示:按下Alt键的同时,点左键移动鼠标可以旋转模型。按下Shift键的同时,点左键移动鼠标可以移动模型。同时按下Alt+Shft键,点鼠标左键可以放大模型。

(2)复制长方体

复制长方体以创建第2部分:展开绘图历史树的Objects\vaccuum\Tee节点,右键点击Tee顶,选择Edit > Duplicate > Around Axis,在弹出对话窗的Axis 项选择Z轴, 在Angel 项输入90deg,在Total Number项输入2,点击OK。则复制、添加一个长方体.默认名为Teel_1,与Z轴成90度夹角。按下Ctrl+D键以适中显示,如图错误!文档中没有指定样式的文字。-4所示。

图错误!文档中没有指定样式的文字。-4 复制第二个长方体

继续复制第一个长方体以创建第3部分:重复以上步辍,只是在Angel项输入-90, 则添加第3个长方体,默认命Tee_2,即Tee沿Z轴顺时针旋转90度复制而成.如图错误!文档中没有指定样式的文字。-5所示。

图错误!文档中没有指定样式的文字。-5 复制第三个长方体

(3)组合长方体

组合:按下O键切换到物体选择状态。选中第1 个长方体,按下Clrl键的同时选中第2、第3个长方体,主菜单中选择3DModeler Option > Boolean > Unite,则将3个长方体组合在一起,形成了一个T型接头.如图错误!文档中没有指定样式的文字。-6所示。

图错误!文档中没有指定样式的文字。-6 组合成T型接头

(4)创建间隔

绘制长方体:主菜单中选择Draw > Box,在绘图区任选一个基准点,在XY平面展开成长方形,点左键确定,再沿Z轴展开成长方体,点左键确定,完成后弹出属性对话窗。

确定位置参数:在属性对话框内的Comman页,在Position项输入(-0.45in, offset-0.05in,0in),按下Enter 键,则弹出Add V ariable对话框,在该对话框Value项输入0in,点OK,回到属性对话窗。注意:由于还没有定义offset这个变量,上述数据输入时要带上单位in,并且offset变量为属于设计T_waveguide_design1的局部变量。

调整长方体尺寸:仍然在Command页,在Xsize项输入0.45,在Ysize项输入0.1, 在Zsize项输入0.4。

命名:在属性对话框中选择Attribute标签页,在Name栏输入septum,其它不变,点确定完成。则这个名为SePtum的小长方体,即作为间隔创建完成,如图错误!文档中没有指定样式的文字。-7所示。

图错误!文档中没有指定样式的文字。-7 创建间隔

由T 型接头中减去间隔:在历史树中选中Tee项,按下Ctrl键的同时再选中septum项,主菜单中选择3DModeler > Boolean > Subtract,在弹出对话窗中,确定Tee在Blank Parts列中,septum在Tool Parts列中(即将间隔从T型接头中去掉),点OK完成。最终的结构如

图错误!文档中没有指定样式的文字。-8所示。

图错误!文档中没有指定样式的文字。-8 从T型接头中去除间隔

(5)添加另外两个波端口

按前述添加波端口WavePortl的方法,添加T型结构的另外两个波端口,分别为WavePort2和WavePort3。

3.仿真求解设置

(1)添加求解设置

在工程设计窗口中.找到T_waveguide_design1\Analysis节点,点右键.选择Add Solution Setup,弹出求解设置对话框,在General标签页的Solution项输入10,默认单位为GHz,在Adaptive Solutions的Maximum Number of Passes项设为3,其它不变,点确定。则在T_waveguide_design1\Analysis节点下添加了有关求解设置项,默认名为Setup1。

添加频率扫描:在工程设计树中的Setup1项上点右键,选择Add Sweep,在弹出的对话框中选择Interpolation项,其具体设置默认不变;在Type栏选择Linear Step,定义频率范围为8~10GHz,间隔0.01GHz,点击OK完成。则在Setup1节点下增加一个频率扫描项,默认名为Sweepl。工程设计树窗口更新如图错误!文档中没有指定样式的文字。-9所示。

图错误!文档中没有指定样式的文字。-9 更新后工程设计树窗口

(2)确认设计

主菜单中选择HFSS > Validation Check,则弹出确认检查窗口,对设计进行确认。全部完成且没有错误时,点Close结束。

(3)仿真分析

主菜单中选择HFSS > Analyze对设计的模型进行三维场分析求解。求解全部完成后.在信息窗口会出现确定信息。

(4)移动间隔的位置

在工程树的T_waveguide_design1项上点右键,选择Design Properties项。在弹出对话框的Local Variables标签页中选择Value项,在Offset参数的Value框输入0.2 (即Offset 变量的值为0.2),点确定完成。则软件在绘图窗自动更新几何尺寸,如图错误!文档中没有指定样式的文字。-10所示。

图错误!文档中没有指定样式的文字。-10 移动间隔的位置

(5)重新分析

在工程树的Analysis项点右键,选择Analyze,重新进行3D场仿真求解。

4.比较结果

(1)创建一个S参数的矩形曲线图

创建结果图:在工程树中的Results项上点右键,选择Create Reort。在弹出对话框的Reort Type列选择Modal Solution Data,在Display Type列选择Rectangular Plot,点OK 完成.则弹出Traces对话窗。

设置曲线:选中对话窗中部的Y标签页,在Category列选择S parameter,在Quantity 列,按下Ctrl键的同时,选择S(WavePort1,WavePortl)、S(WavePort1,WavePort2)、S(WavePort1,WavePort3)项,在Function列选择mag。在X标签页,选择Use Primary Sweep 项。在Sweeps标签页,选择Sweep Design and Project variable values,其它默认,点击Add Trace,则在上方加入S11、S12、S13参数曲线,点Done完成。

则在工程树的Results项下加入该图表项.默认名为XYPlotl, 在右侧窗口的矩形图中显示不同间隔的S参数曲线,结果如图错误!文档中没有指定样式的文字。-11所示。

图错误!文档中没有指定样式的文字。-11 包含S参数的矩形曲线图

(2)创建一个场覆盖图

定义间隔的位里:确定Property窗口己经打开,否则在主菜单中选择View > Property Window,显示Property窗口。在工程树中选择T_waveguide_design1项,则在工程树下方出现Variables标签页,在Offset变量的Value栏输入0。

定义场分布:双击工程树中的T_waveguide_design1项,返回绘图窗口。在绘图区点右键.选择select Faces项,再点左键选择T型接头的上表面。主菜单中选择HFSS > Fields > Plot Field > Mag_E,在弹出对话框的Solution项选择Setup1:LastAdaptive ,其余默认,点Done 完成。则在工程树的Field Over lays节点下加入该图,默认名为Mag_E1,在T型接头的上表面显示场分布情况,如图错误!文档中没有指定样式的文字。-12所示。

图错误!文档中没有指定样式的文字。-12 T型接头上表面的场覆盖图

修改三维场的绘制属性:在工程树中选择Field Overlavs\E Field节点,点击右键选择Modify Attributes,则出现属性对话框,可以设置场的显示类型、参量等参数。

(3)动态演示场覆盖图

在工程树的Mag_E1上点右键.选择Animate。在弹出对话窗的Swpt Variable标签页,在Swpt Variable列选择Phase,定义范围:O~1 60deg,步长8,点OK完成.在出现的Animation 对话框,可以控制动画演示的进程,包括开始、停止、演示速度、帧顺序等。注意观察场分布的情况,重点比较2、3端口的场分布差异。停止演示。

定义间隔位置并重新演示:在工程树中选择T_waveguide_design1项,在Property窗口的Variables标签页中,在Offset变量的Value栏内输入0.2。重新演示,注意观察此时2、3端口的场分布差异,并比较场分布悄况和前一个演示有何不同,停止演示。

(4)保存并退出HFSS

主菜单选File > Save或工具栏选择Save,保存该工程。

主菜单选File > Close,关闭工程。

主菜单选File > Exit,退出HFSS软件。

1.1.1.2 优化T型波导实例

这个例子是在第一个例子的基础上进行的,利用HFSS软件,对的间隔位置进行优化,使得第3个端口的输出功率是第2个端口输出功率的2倍。并在优化后观察T型波导各端口的输出功率和场分布情况。进行步骤如下:

1.打开待优化工程

(1)重新命名并保存工程

主菜单选File > Open,打开例1所创建的T_waveguide.hfss文件

主菜单选File > Save as,保存在自定义路径及文件夹内,命名为T_waveguide_optim.hfss。

(2)删除频率扫描和结果图

在工程树中选择Analysis > Setupl > Sweep1节点,在工具栏点Dclete图标,删除Sweep1

项。同理删除Results节点下的XYPlotl项。

2.创建参数扫描仿真和分析

(1)添加参数设置

在工程树中OPtimetrics项上点右键,选择Add > Paremetric项,弹出Setup Sweep Analysis 对话框。

添加变量扫描定义:在对话窗的Sweep Definitions标签页,点击Add,在新弹出窗口中己经默认调节变量为Offset,选择Linear step项,变量范围为0~1,步长0.1,单位均为in,点击Add,可在窗口右侧添加其它调节变量及其设置。点OK,则回到Setup Sweep Analysis 对话框,在其中已加入可调变量。

保存每个求解变量的场分析结果:在Options标签页,选择Save Fields And Mesh。

定义输出变量:在Calculations标签页点击Add,选择Edit Calculation,则弹出Output Variable对话框。首先定义Power11变量:在Name栏输入Power11,在Category列选择S Parameter,在Quantity列选择S(WavePortl, WavePortl),在Function列选择mag,然后点击Insert Quantity Into Expression,则在Expression栏添加表达式,在式子末尾输入*,再点击Insert Quantity Into Expression,则表达式改为mag(S(WavePortl, WavePortl))* mag(S(WavePortl, WavePortl)),点击Add添加,则在对话框的顶部列入输出调节变量Power11及其表达式;定义Powcr21变量:重复以上步骤,最后表达式应为mag(S(WavePort2, WavePortl))* mag(S(WavePort2, WavePortl));定义Power31变量:重复以上步骤,最后表达式应为mag(S(WavePort3, WavePortl))* mag(S(WavePort3, WavePortl)),如图错误!文档中没有指定样式的文字。-13所示。点击Done完成定义回到Calculation标签页。

添加输出变量计算:回到Calculation标签页后。已经在该页Calculation列的第一行加入Power31变量。点击Add,然后点击Calculation列的第二行空白处,在下拉菜单中选择Power21变量,按下Enter键。再点击Add,在第三栏选择Power11变量,按下Enter键,则定义了3个输出变量进行计算。如图错误!文档中没有指定样式的文字。-14所示。点击确定完成,则新的参数设置自动列入工程树的OPtimetrics节点下,默认名为ParametericSetup1。

图错误!文档中没有指定样式的文字。-13 定义输出变量

图错误!文档中没有指定样式的文字。-14 添加输出变量计算

(2)参数分析求解

在工程树中的ParametericSetup1项上点右键,选择Analyze,对参数设置中变量扫描定义的每一个变量进行3D场分析求解,全部完成后,在信息窗口会出现确定信息。

3.察看参数结果

(1)创建S参数与Offset变量的关系曲线图

创建结果图:在工程树中的Results项上点右键,选择Create Reort。在弹出对话框的Reort Type列选择Modal Solution Data,在Display Type列选择Rectangular Plot,点OK完

成.则弹出Traces对话窗。

设置曲线:选中对话窗中部的Y标签页,在Category列选择S parameter,在Quantity 列,按下Ctrl键的同时,选择S(WavePort1,WavePortl)、S(WavePort1,WavePort2)、S(WavePort1,WavePort3)项,在Function列选择mag。在X标签页,选择Use Primary Sweep 项。在Sweeps标签页,选择Sweep Design and Project variable values,选中Freq项,在下拉列表中选择offset,点击Add Trace,则在上方加入S11、S12、S13参数与offset变量的关系曲线,点Done完成。

则在工程树的Results项下加入该图表项.默认名为XYPlotl, 在右侧窗口的矩形图中显示不同间隔位置时的S参数曲线,结果如图3-52所示。

2)创建功率分配与Offset变量的关系曲线图

创建结果图:在工程树中的Results项上点右键,选择Create Reort。在弹出对话框的Reort Type列选择Modal Solution Data,在Display Type列选择Rectangular Plot,点OK 完成.则弹出Traces对话窗。

设置曲线:选中对话窗中部的Y标签页,在Category列选择S parameter,在Quantity 列,按下Ctrl键的同时,选择Power11、Power21、Power21项,在Function列选择None。在X标签页,选择Use Primary Sweep项。在Sweeps标签页,选择Sweep Design and Project variable values,选中Freq项,在下拉列表中选择offset,点击Add Trace,则在上方加入Power11、Power21、Power21参数与offset变量的关系曲线,点Done完成。

则在工程树的Results项下加入该图表项.默认名为XYPlot2, 在右侧窗口的矩形图中显示不同间隔位置时的3个端口的输出功率参数曲线,结果如图错误!文档中没有指定样式的文字。-15所示。

图错误!文档中没有指定样式的文字。-15 功率分配与Offset变量的关系曲线图

由图错误!文档中没有指定样式的文字。-15可知,当间隔位置向2端口移动时,2端口的愉出功率逐渐减少,3端口的输出功率变大;当间隔位置超过0.3in时,3端口的输出功率也逐渐变小,1端口功率变大,因此优化范围的最大值可设为0.3in。另外,注意图中间隔为0.1in时,3端口的输出功率为0.65, 2端口输出功率为0.32,近似为2倍,因此优化范围

的最小值可设为0in。

提示:选择适当的优化范围.可以极大地减少运算量,节约软件仿真时间.确保结果正确.

(3)重新创建一个场覆盖图

选择工程树中Field Overlays节点下的Mag_E1项并双击,则激活场覆盖图。再在Mag_El 项上点右键,选择Animate,则弹出Select Animate对话窗,选择New, 在新窗口的Sweept Variable标签页的ableSweept Variable列选择Offset,相应数值为默认,点OK完成。则开始自动演示间隔位置为0~lin时的场分布情况。注意观察随着Offset变量的变化,2、3端口的场分布差异。如图错误!文档中没有指定样式的文字。-16所示,然后停止演示。

(a)(b)

(c)(d)

图错误!文档中没有指定样式的文字。-16 T型波导表面场覆盖图(a)Offset= 0in;(b)Offset= 0.3in;(c)Offset= 0.7in;(d)Offset= 1in

4.创建优化仿真和分析

(1)选择优化变量

主菜单选择HFSSDesign > Properties,在弹出对话窗选择Optimization项,在offset栏勾选Include项,点击确定完成。

(2)添加优化变量

在工程树中的Optimetrics项上点右键,选择Add > Optimization。在弹出对话框的Goals 标签页的Optimizer列选择Quasi Newton项,Max.No .of Iterations项默认为1000不变,去掉Save Fields项前的选勾。

添加成本函数:仍在Goals标签页,点击Add,则在Cost FunCtion表中添加新的一栏.在Calcuation列输入成本函数的求解表达式:Power31-2* Power21,按下Enter键;在Goal列抽入0,按Enter键:Weight列为1不变:在Acceptable Cost项输入0.01(即成本函数值等于或小于0.01时,停止优化分析);Noise项保持0.0001不变。

规定变量起始值:选择Variables标签页,在V ariable列只有Offset变量,勾选Override 项.在staring Value列输入0.1。

规定变量最大、最小值:仍在Variables标签页,勾选Include项,Min为0,Max为0.3。

选择在优化前求解参数设置:选择General标签页,在P arametric Analysis列选择ParametricSetup1,选择Solve the parametric sweep before optimization项。

选择优化后更新变量值:仍在General标签页,勾选项Update design parameter values after optimization项。

点击确定,则优化设置全部完成,在工程树Optimetrics节点下自动加入OptimizationSetup1项。在工程树的OptimizationSetup1项上点右键,选择Analyze,进行优化分析.此过程需要几分钟,可进行下面的实验步骤。

5.查看优化结果

(1)成本函数与求解迭代曲线

在工程树的OptimizationSetup1项上点右键,选择View Analysis Result,察看优化结果,在弹出对话框的Result标签页选择Plot,则出现成本函数值与迭代值的关系曲线图;如果选择Table则列表显示。结果都是实时显示的,直至优化结束.如图错误!文档中没有指定样式的文字。-17所示。

记录优化结果,即offset变量优化后的值。点击Close将其关闭。

图错误!文档中没有指定样式的文字。-17 优化结果

(2)在间隔为优化值时重新仿真工程

在工程树的Analysis\Setup1节点上点右键,选择Aanlyze,则在优化值处重新分析场分布情况。

(3)更新场覆盖图

在工程树中双击Mag_E1项,可以察看己经更新的场覆盖图。

(4)保存并退出HFSS

1.1.2复杂结构参数化建模实例

多波束聚焦介质透镜天线,介质采用聚四氟乙烯,介电常数为2.4,正切损耗角0.001。工作频率为77.5 GHz,馈源接口选用WR-12(BJ-740)波导,横截面3.1mm×1.55mm。透镜天线口径:450 mm。介质棒馈源阵列数目为20,且沿H面等间距线性排列,即总波束数目为18。

在HFSS建模中,要求所有尺寸数据都是参数化的,而且为整个工程的全局变量,系统结构以及各参量分别如图错误!文档中没有指定样式的文字。-18所示。

图错误!文档中没有指定样式的文字。-18多波束聚焦透镜天线参数设置

介质透镜为单折面透镜,其厚度计算公式为:t=-焦距/(折射系数+1)+sqrt((焦距/(折射系数+1))^2+(透镜口径/ 2)^2/(折射系数^2-1)),直角坐标公式为:y=sqrt((折射系数^2-1)*x^2+2*(折射系数-1)*焦距*x)。

以下为多波束聚焦透镜天线主要结构的HFSS建模步骤,端口激励、仿真设置和结果分析就不介绍了。

1.创建工程

运行HFSS软件后,创建一个新工程并重新命名为multiple_beams_focal_plane_array_ antenna,包含一个名称为HFSSDesign1的设计。

2.创建模型

(1)设置工程全局变量

在工程窗口中右键点击multiple_beams_focal_plane_array_antenna,选择Project Variables 选项,按照图错误!文档中没有指定样式的文字。-19设置各项参量。

图错误!文档中没有指定样式的文字。-19 设置工程全局变量

其中$E_l1=1.5 mm,$H_l1=3.1 mm,$E_l2=2.4 mm,$H_l2=4 mm,$horn_h=2 mm,$wg_l=10 mm,$rod_dis=3 mm,$num=20 ,$theta=30 deg,$focal_dis=260 mm,$lens_dia=350 mm,$taper_l1=5 mm,$rod_l1=2 mm,$taper_l2=12 mm。$jdcs=2.4为介质棒和透镜所用介质材料的介电常数,$n=sqrt($jdcs)为介质透镜的折射系数,$t=-$focal_dis/($n+1)+ sqrt(($focal_dis/($n+1))^2+ ($lens_dia/2)^2/($n^2-1))=77.66 mm为单折面透镜厚度计算公式,$t_t=10^ (db($t)/20+3)=77.66,该变量值与$t值相同,只是不具备单位,原因在于HFSS基于方程曲线建模不能接受具备单位的变量,因此该变量可以代表变量$t应用于方程曲线建模中。

(2)创建介质棒馈源

绘制一个锥形介质棒:主菜单中选Draw > Line或在工具栏中点击工具按钮,然后在画图窗口随意点击构成封闭的六边形结构如图错误!文档中没有指定样式的文字。-20所示。

图错误!文档中没有指定样式的文字。-20 绘制封闭六边形结构

随后自动弹出该六边形的属性对话窗。选择Arrtibute标签页,将Name项改为介Rod,编辑颜色为蓝色,点击Transparent项数值条,在弹出窗口移动滑条使其值为0.4,提高透明度。双击CreatePolyline下的CreateLine,如图错误!文档中没有指定样式的文字。-21所示设置每段直线的起始点坐标。

图错误!文档中没有指定样式的文字。-21 六边形属性设置对话窗

每段直线的起始点坐标分别为:

-$focal_dis ,$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 -$rod_l1 ,$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 -$rod_l1 ,$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 -$rod_l1-$taper_l1 ,$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 -$rod_l1-$taper_l1 ,$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 -$rod_l1 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 -$rod_l1 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis ,$rod_dis/2 ,$E_l1/2

设置完毕后.按Ctrl+D键,将已画图形适中显示,如图错误!文档中没有指定样式的文字。-22所示。

图错误!文档中没有指定样式的文字。-22 绘制的锥形介质棒横截面

然后通过点击菜单Draw > Sweep > Along Vector,按下Tab键切换到参数设置区(在工作区的右下角),矢量的起始坐标(x,y,z)为(0,0,0),数据输入时用Tab 键左右移动,按下Enter 键确认后,输入矢量的终点坐标( dx,dy,dz)为(0,0,4),再按下Enter 键确认。注意:在设置未全部完成时不要在绘图区中点击鼠标!设置完毕后弹出设置对话窗,把Vector项的值改为0mm ,0mm ,-$E_l1,如图错误!文档中没有指定样式的文字。-23所示。

图错误!文档中没有指定样式的文字。-23 沿矢量扫描的属性设置

于是该闭合面通过矢量扫描构成几何体,如图错误!文档中没有指定样式的文字。-24所示。

图错误!文档中没有指定样式的文字。-24 锥形介质棒立体图

接着将绘制包裹部分介质棒的波导及角锥喇叭过渡部分。点击菜单Draw > Rectangle 或

点击按钮任意绘制一个矩形,更改随后弹出的设置对话窗,Position值为-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,$E_l1/2,Axis值为Z,XSize值为-$wg_l,YSize 值为-$H_l1,如图错误!文档中没有指定样式的文字。-25所示

图错误!文档中没有指定样式的文字。-25 设置包裹部分介质棒的第一个波导表面

随后再任意绘制3个矩形,分别更改设置为

①Position值:-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,-$E_l1 /2;Axis值:Z;XSize 值:-$wg_l;YSize值:-$H_l1;

②Position值:-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,$E_l1/2;Axis值:Y;XSize 值:-$wg_l;YSize值:-$E_l1;

③Position值:-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2;Axis值:Y;XSize 值:-$wg_l;YSize值:-$E_l1;

编辑上述4个矩形颜色为红色,透明值为0.4,此时波导部分建模完成,建模窗口显示如图错误!文档中没有指定样式的文字。-26。

图错误!文档中没有指定样式的文字。-26 包裹部分介质棒的波导部分

点击主菜单中选Draw > Line或在工具栏中点击工具按钮,然后在画图窗口随意点击构成封闭的四边形面,4个端点的坐标分别为:

-$focal_dis-$taper_l2 ,$H_l1/2 +$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2+$horn_h ,$H_l2/2+$rod_dis/2 ,$E_l2/2

-$focal_dis-$taper_l2+$horn_h ,-$H_l2/2+$rod_dis/2 ,$E_l2/2

-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2

并且再按上面方法任意绘制3个四边形面,它们4个端点的坐标分别为:-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,-$E_l1/2

-$focal_dis-$taper_l2+$horn_h ,$H_l2/2+$rod_dis/2 ,-$E_l2/2

-$focal_dis-$taper_l2+$horn_h ,-$H_l2/2+$rod_dis/2 ,-$E_l2/2

-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,-$E_l1/2

-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2+$horn_h ,$H_l2/2+$rod_dis/2 ,$E_l2/2

-$focal_dis-$taper_l2+$horn_h ,$H_l2/2+$rod_dis/2 ,-$E_l2/2

-$focal_dis-$taper_l2 ,$H_l1/2+$rod_dis/2 ,-$E_l1/2

-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,$E_l1/2

-$focal_dis-$taper_l2+$horn_h ,-$H_l2/2+$rod_dis/2 ,$E_l2/2

-$focal_dis-$taper_l2+$horn_h ,-$H_l2/2+$rod_dis/2 ,-$E_l2/2

-$focal_dis-$taper_l2 ,-$H_l1/2+$rod_dis/2 ,-$E_l1/2

编辑上述4个四边形面颜色为红色,透明值为0.4,此时角锥喇叭过渡部分建模完成,因此单个介质棒馈源建模全部完成。把波导部分与角锥喇叭过渡部分进行布尔合并操作,并覆为Perfect E边界。设置介质棒材料的介电常数为2.4,损耗角为0.001。建模窗口显示如图错误!文档中没有指定样式的文字。-27。

图错误!文档中没有指定样式的文字。-27 角锥喇叭过渡部分

把上述单个馈源各个部分都选中,然后通过点击菜单Edit > Duplicate > Along Line,任意绘制一条直线,在绘制未完成后弹出复制总数目问询对话窗,把Total number项的值改为10,如图错误!文档中没有指定样式的文字。-28所示。

图错误!文档中没有指定样式的文字。-28 设置复制总数目

点击OK键后弹出复制设置对话窗,把V ector项的值改为0mm ,$rod_dis ,0mm,点击确定后建模窗口如图错误!文档中没有指定样式的文字。-29所示。

图错误!文档中没有指定样式的文字。-29 沿矢量复制后的10个介质棒馈源

选择已经建好的10个馈源,并点击菜单Edit > Duplicate > Along Axis,在弹出复制问询对话窗中,更改Axis项的值改为X,Angle值为180 deg,Total number项的值改为2,点击

确定后建模窗口如图错误!文档中没有指定样式的文字。-30所示,共计20个馈源。

图错误!文档中没有指定样式的文字。-30 沿轴复制后的20个介质棒馈源选择所有建好的20个馈源,并点击菜单Edit > Arrange > Rotate,任意设置弹出的旋转问询对话窗中,点击OK键后弹出旋转属性对话窗,更改Axis项的值改为Z,Angle值为$theta。所需建立的馈源阵列全部完成。

(3)创建介质透镜

点击菜单Draw > Equation Based Curve,如图错误!文档中没有指定样式的文字。-31

所示对弹出的对话窗进行设置, X(_t)值为_t,Y(_t)值为sqrt(($n^2-1)*_t^2+2*($n-1)*$focal_dis

*_t),Z(_t)值为0,Start_t值为0,End_t值为$t_t,Number of Points值为100,点击OK。则创建了一个方程曲线,默认名为EquationCurve1,如图错误!文档中没有指定样式的文

字。-32所示。注意:方程曲线Start_t值和End_t值都不能出现具有单位的全局变量。

基于HFSS矩形微带贴片天线的仿真设计报告

.. .. .. 矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真 实验容:矩形微带天线仿真:工作频率7.55GHz 天线结构尺寸如表所示: 名称起点尺寸类型材料 Sub -14.05,-16,0 28.1,32,0.794 Box Rogers 5880 (tm)GND -14.05,-16,-0.05 28.1,32,0.05 Box pec Patch -6.225,-8,0.794 12.45 , 16, 0.05 Box pec MSLine -3.1125,-8,0.794 2.49 , -8 , 0.05 Box pec Port -3.1125,-16,-0.05 2.49 ,0, 0.894 Rectangle Air -40,-40,-20 80,80,40 Box Vacumn 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File>>save as,输入0841,点击保存。 (2). 设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK。

(3)、设置模型单位:3D Modeler>Units选择mm ,点击OK。 (4)、菜单栏Tools>>Options>>Modeler Options,勾选”Edit properties of new pri”, 点击OK。 二、建立微带天线模型 (1)、插入模型设计 (2)、重命名

输入0841 (3)点击创建GND,起始点:x:-14.05,y:-16,z:-0.05,dx:28.1,dy:32,dz:0.05 修改名称为GND, 修改材料属性为 pec, (4)介质基片:点击,:x:-14.05,y:-16,z:0。dx: 28.1,dy: 32,dz: 0.794, 修 改名称为Sub,修改材料属性为Rogers RT/Duriod 5880,修改颜色为绿色,透明度0.4。

Nurbs建模基础入门-建模案例学习

Nurbs建模学习 一、关于Nurbs Nurbs建模技术在设计与动画行业中占有举足轻重的地位,一直以来是国外大型三维制作公司的标准建模方式,如pixar,PDI,工业光魔等,国内部分公司也在使用Nurbs建模。他的优势是用较少的点控制较大面积的平滑曲面,以建造工业曲面和有组织的流线曲面见长。而且Maya在特效,贴图方面对nurbs的支持比较充分,使用nurbs模型在后续工作中会很方便。 不过nurbs对拓扑结构要求严格,在建立复杂模型时会比较麻烦,这需要我们耐心的学习。 二、Loft放样 作画时,固有色和环境色是两个非常重要的概念。物体真正的固有色只有在没有任何环境影响,无投影的白色柔和光照下,才能被我们确定。而我们平常所看到的物体大多被随意放置在一定的环境中,…… Loft是最常用的曲面工具之一,我们可以通过几条曲线描述物体的外形,然后放样生成表面。 Loft 放样。 创建一系列的曲线定义物体的形状,然后一起放样这此曲线就象在一个框架上蒙上画布一样。这些曲线可以是表面上的曲线、表面等位结构线或剪切曲线。使用放样来建立表面时,应该保证所有参加放样的截面曲线的CV点的数目一样,下就是当你建立完曲线后进行一次Surface/Rebuild将曲线重建使CV点统一,这样生成的曲面就会显得整齐,而且很方便以后调整外形。需要要注意一点就是在放样前,选择曲线的顺序,这个操作决定了你放样后形成的面。

Parameterization 改变放样参数,Uniform 结点距离,用使轮廓曲线与V 方向平等,结果表面U 方向上的参数值等间距,第一条轮廓曲线和表面上的U (0,0)处的等位结构线对应,第二条和U (1,0)对应以次类推。 Chord Length 间距,结果表面U 方向上的参数值会根据轮廓曲线起点间的距离而定。 Rebuild 后 Rebuild 前

基于HFSS的天线设计

一、实验目的 ?利用电磁软件An soft HFSS设计一款微带天线。 ?微带天线要求:工作频率为2.5GHz带宽(回波损耗S11<-10dB)大于5% ?在仿真实验的帮助下对各种微波元件有个具体形象的了解。 二、实验原理 1、微带天线简介 微带天线的概念首先是由Deschamps于1953年提出来的,经过20年左右的 发展,Munson和Howell于20世纪70年代初期制造出了实际的微带天线。微带天线由于具有质量轻、体积小、易于制造等优点,现今已经广泛应用于个人无线通信中。 图1是一个简单的微带贴片天线的结构,由辐射源、介质层和参考地三部分 组成。与天线性能相关的参数 包括辐射源的长度L、辐射源的宽度W介 质层的厚度h、介质的相对介电常数r和 损耗正切tan、介质层的长度LG和宽度WG 图1所示的微带贴片天线是 图1:微带天线的结构 采用微带天线来馈电的,本次将要设计的 矩形微带贴片天线采用的是同轴线馈电,也就是将同轴线街头的内心线穿过参考地和介质层与辐射源相连接。 对于矩形贴片微带天线,理论分析时可以采用传输线模型来分析其性能,矩形贴片微带天线的工作主模式是TM10模,意味着电场在长度L方向上有g/2的 改变,而在宽度W方向上保持不变,如图2 (a)所示,在长度L方向上可以看做成有两个终端开路的缝隙辐射出电磁能量,在宽度W方向的边缘处由于终端开路,所以电压值最大电流值最小。从图 2 (b)可以看出,微带线边缘的电场可 以分解成垂直于参考地的分量和平行于参考地的分量两部分,两个边缘的垂直电场分量大小相等、方向相反,平行电场分量大小相等,方向相反;因此,远区辐射电场垂直分量相互抵消,辐射电场平行于天线表面。

用Sonnet Agilent HFSS设计微带天线概要

用Sonnet & Agilent HFSS设计微带天线 摘要:以一同轴线底馈微带贴片为题材,分别用Sonnet 软件及Agilent Hfss 软件进行Simulate,分析其特性。并根据结果对这两个软件作一比较。 天线模型: 天线为微带贴片天线,馈电方式为50Ω同轴线底馈,中心频率3GHz ξ=,尺寸56mm*52mm*3.175mm 基片采用Duroid材料 2.33 r Patch :30mm*30mm 馈电点距Patch中心7mm处。 参见下图。 一.Sonnet 参数设置如下图:

介质层按照天线指标予以设置: 画出Antenna Layout.

Top view Bottom view 其中箭头所指处为via,并在GND层加上via port. 即实现了对Patch的底馈。 至此,Circuit Edit完成。下一步对其进行模拟。Array模拟结果: S11,即反射系数图:

可见中心频率在3G附近,。 进一步分析电流分布: 在中心频率的附近,取3G,3.1G作表面电流分布图:

可见,在中心频率的电流分布较为对称。符合设计的要求。 远区场方向图: 选取了若干个频率点绘制远区场增益图。从中可以看到,中心频率的增益较边缘为大。 符合设计的要求。

二.Agilent Hfss Agilent Hfss (high frequency structure simulator)是AGILENT公司的一个专门模拟高频无源器件的软件。较现在广泛应用的ANSOFT HFSS功能类似,但操作简单明了。能在平面结构上建模天线不同,Agilent Hfss可以精确地定义天线的立体结构。并可将馈电部分考虑在模拟因素内,按要求设定辐射界面,等等。可能在本文的例子中,由于结构比较简单,并不能充分体现这一点,但也应可见一斑。 本例与HFSS HELP中所附带的例子较为类似,因此我参照HELP文件,在HFSS5.6环境下较为顺利的完成了模拟。 用HFSS模拟天线,主要分Draw Model、Assign Material、Define Boundary、Solve、Post Process 五个步骤: ⒈Draw Model: HFSS采用的是相当流行的AUTOCAD的ENGINE,因此绘制方法与AUTOCAD大同小异,这里不在赘述。我先分Air Box、Substrate Box、Coax Line、Patch几个部分画好模型。其中COAX LINE 包括内导体(圆柱)及外层介质及外导体(环柱);PATCH为一平面矩形,AIR BOX、SUBSTRATE BOX 为长方体。 同时,由于基板,同轴线之间会有重叠,所以应用3D OBJECTS 菜单中的Subtract命令将 重叠部分减去。

HFSS 天线设计实例

HFSS 天线设计实例 这是一种采用同轴线馈电的圆极化微带天线 切角实现圆极化 设计目标!(具体参数可能不精确,望大家谅解)主要讲解HFSS操作步骤! GPS微带天线:介质板:厚度:2mm,介电常数:2.2,大小:100mm*100mm 工作频率:1.59GHz,圆极化(左旋还是右旋这里不讲了哈),天线辐射在上半平面覆盖! 50欧同轴线馈电, 1、计算参数 首先根据经验公式计算出天线的基本参数,便于下一步建立模型。 贴片单元长度、宽度(正方形贴片长宽相等)、馈电点位置,分离单元长度.下表是经HFSS分析后选择的一组参数:

2、建立模型 首先画出基板50mm*50mm*2mm 的基板 起名为substrate 介电常数设置为如图2.2的,可以调整color颜色和transparent透明度便于观察 按Ctrl+D可以快速的使模型全可见!按住Ctrl+Alt键,拖动鼠标可以使3D模型自由旋转同理,我们画贴片:

1、在基板上画出边长65mm(假设用公式算出的是这么多)的正方形 2、起名为patch,颜色选绿色,透明度设为0。5 画切角是比较麻烦的 1、用画线条工具,画三线段,坐标分别是0.5.0, 5.0.0, 0.0.0 2、移动三角形,选中polyline1,选菜旦里edit\Arrange\move,先确定坐标原点或任一点为基准点,将三角形移动到左上角和贴片边沿齐平。 3、复制三角形,选中polyline1,选菜单里edit\arrange\duplicate\around axis,相对坐标轴复制,角度换成180,然后在右下角就出现了相对称的另一个三角形。 4、从patch上切掉对角上的分离单元polyline1和polyline1_1: 选中patch、polyline1和polyline1_1,选菜单里3D modeler\Boolean\Subtract 把polyline1和polyline1_1从patch上切掉最后剩下 先在介质板底面画一个100mm*100mm的正方形作为导电地板。起名为 ground 下面就是画馈源了:我们采用同轴线馈电,有两种建模方法: 1、在馈电点画一0.5mm的铜柱代表同轴线内导体,起名为feed 2、在介质板底面馈电点处画一1.5mm的圆,起名为port 3、复制port为port1,复制feed为feed1 4、复选port和feed1,执行菜单里3D Modeler\Boolean\Subtract,使port成为一个内径0.5mm外径1.5mm

曲面建模讲解与实例

多边形建模现在被越来越多的人喜爱并使用。了解这些特性并在建模当中巧妙的使用能起到很好的效果,本教程详细的讲解曲面建模。 作者:asdf 在火星人上看到了很多人在讨论软件中的曲面建模方法,这其中包括NURBS、PATCH、SURFACE,和SUB DIVETION(细分)先介绍几个连续性的概念,需小小的高数基础,但为了让我们更好地理解曲线建模,不要畏惧它!LET’S BEGIN 某节点两端曲线在该点重合,则该点具有C0、G0级连续;该点两端曲线重合,切矢量方向相同,大小不等,称为G1级连续,该点两端曲线重合,切矢量方向相同,大小相等,称为C1级连续,如果两端曲线重合,切矢量导数方向相同,大小不等称为G2级连续,如果两端曲线重合,切矢量导数方向相同,大小相等称为C2级连续,至二阶三阶有C2、G2、C3、G3等连续方式。一般默认的NURBS(MAX中MAYA中)连续,是C23级别,控制点(CV、EP)的权重反映了切线的大小数值,而在高精度的工业设计中可应用于更高的连续级别。而把这些概念应用于BRZEIL上,我们可以看到,MAX中的BREZIL曲线可以较为自由地改变其节点连续性,将之转化成CORNER形或是BREZIL CORNER,就是C0G0级别,将之转成BEZIL 形就是两端曲线切线柄方向一致就是G1形,转成SMOOTH,因切线柄两端方向一致大小一致因此是C1形,因为都属于有理化样条曲线,所以BREZEIL和NURBS之间是可以转换的,也就是说PATCH和NURBS曲面是可以转换的,所以正像我前面说了,MAYA中NURBS面片建模的原理其实和PATCH原理极其相似,不过一般要满足四边面的拓朴关系,而PATCH也是一样的,如果出现三角面,曲面的光滑度很难控制,像是A:M和MAX中的基于样条曲建模手段,在MAX叫做SURFACE,其实也就连续性。细分是从多边形和NURBS中演生出的一种建模手段,在MAX中叫做NURMS,可以用少量的点、线、面是PATCH的快速方法,类似的方法其实用NURBS也可以实现,比如说在RHINOS中可织成曲线网,然后用三边线成面或四边线成面并要注意其子物体控制曲面的形态,并可以调整其子物体上的权重(WEIGHT)。因为是个人分析,可能有错误,希望高手斧正!

基于HFSS的天线设计教材

图1:微带天线的结构 一、 实验目的 ●利用电磁软件Ansoft HFSS 设计一款微带天线。 ◆微带天线要求:工作频率为2.5GHz ,带宽 (回波损耗S11<-10dB)大于5%。 ●在仿真实验的帮助下对各种微波元件有个具体形象的了解。 二、 实验原理 1、微带天线简介 微带天线的概念首先是由Deschamps 于1953年提出来的,经过20年左右的发展,Munson 和Howell 于20世纪70年代初期制造出了实际的微带天线。微带天线由于具有质量轻、体积小、易于制造等优点,现今已经广泛应用于个人无线通信中。 图1是一个简单的微带贴片天线的结构,由辐射源、介质层和参考地三部分组成。与天线性能相关的参数 包括辐射源的长度L 、辐射源的 宽度W 、介质层的厚度h 、介质 的相对介电常数r ε和损耗正切 δtan 、介质层的长度LG 和宽度 WG 。图1所示的微带贴片天线是采用微带天线来馈电的,本次将要设计的矩形微带贴片天线采用的是同轴线馈电,也就是将同轴线街头的内心线穿过参考地和介质层与辐射源相连接。 对于矩形贴片微带天线,理论分析时可以采用传输线模型来分析其性能,矩形贴片微带天线的工作主模式是TM10模,意味着电场在长度L 方向上有2/g λ的改变,而在宽度W 方向上保持不变,如图2(a )所示,在长度L 方向上可以看做成有两个终端开路的缝隙辐射出电磁能量,在宽度W 方向的边缘处由于终端开路,所以电压值最大电流值最小。从图2(b )可以看出,微带线边缘的电场可以分解成垂直于参考地的分量和平行于参考地的分量两部分,两个边缘的垂直电场分量大小相等、方向相反,平行电场分量大小相等,方向相反;因此,远区辐射电场垂直分量相互抵消,辐射电场平行于天线表面。

hfss设计天线范例

第二章创建项目 本章中你的目标是: √保存一个新项目。 √把一个新的HFSS设计加到已建的项目 √为项目选择一种求解方式 √设置设计使用的长度单位 时间:完成这章的内容总共大约要5分钟。 一.打开HFSS并保存一个新项目 1.双击桌面上的HFSS9图标,这样就可以启动HFSS。启动后的程序工作环境如图:

图2-1 HFSS工作界面 1.打开File选项(alt+F),单击Save as。2.找到合适的目录,键入项目名hfopt_ismantenna。 图2-2 保存HFSS项目 二.加入一个新的HFSS设计 1.在Project菜单,点击insert HFSS Design选项。( 或直接点击图标。)一个新的工程被加入到hfopt_ismantenna项目中,默认名为HFSSModel n。

图2-3 加入新的HFSS设计 2.为设计重命名。在项目树中选中HFSSModel1,单击鼠标右键,再点击Rename项,将设计重命名为hfopt_ismantenna。 图2-4 更改设计名

三.选择一种求解方式 1.在HFSS菜单上,点击Solution Type选项. 2.选择源激励方式,在Solution Type 对话框中选中Driven Mode项。 图2-5 选择求解类型图2-6 选择源激励方式 四.设置设计使用的长度单位

1.在3D Modeler菜单上,点击Units选项. 2.选择长度单位,在Set Model Units 对话框中选中mm项。 图2-5 选择长度单位图2-6 选择mm作为长度单位 第三章构造模型 本章中你的目标是: √建立物理模型。 √设置变量。 √设置模型材料参数 √设置边界条件和激励源 √设置求解条件 时间:完成这章的内容总共大约要35分钟。

UML基础与建模实用教程

UML概述 UML图包括: 用例图:帮助开发团队以一种可视化的方式理解系统的功能需求,包括基于基本流程的“角色”关系以及系统用例之间的关系 类图:显示了系统的静态结构,表示不同的实体(人、事物和数据)是如何彼此相关联的。可表示逻辑类(用户的业务所涉及的事物),实现类(程序员处理的实体) 序列图:显示了一个具体用例或者用例一部分的详细流程 状态图:表示某个类所处的不同状态以及该类在这些状态中的转换过程 活动图:表示两个或者更多的对象之间在处理某个活动时的过程控制流程构件图:提供系统的物理视图,它是根据系统的代码构件显示系统代码的整个物理结构 部署图:显示在系统中的不同的构件在何处物理运行以及如何进行彼此的通信。 类间关系 类之间的关系 1.种类: Generalization(泛化),Dependency(依赖关系)、Association(关联关系)、Aggregation(聚合关系)、Composition(合成关系)。 2.其中Aggregation(聚合关系)、Composition(合成关系)属于Association(关联关系),是特殊的Association关联关系。 3.Generalization(泛化)表现为继承或实现关系(is a)。具体形式为类与类之间的继承关系,接口与接口之间的继承关系,类对接口的实现关系。 4.Association关联关系表现为变量(has a )。类与类之间的联接,它使一个类知道另一个类的属性和方法。例如如果A依赖于B,则B体现为A的全局变量。关联关系有双向关联和单向关联。双向关联:两个类都知道另一个类的公共属性和操作。单向关联:只有一个类知道另外一个类的公共属性和操作。大多数关联应该是单向的,单向关系更容易建立和维护,有助于寻找可服用的类。

HFSS的天线课程设计(20201005041508).docx

一、实验目的 ●利用电磁软件Ansoft HFSS 设计一款微带天线。 ◆微带天线要求:工作频率为,带宽( 回波损耗 S11<-10dB)大于 5%。 ● 在仿真实验的帮助下对各种微波元件有个具体形象的了解。 二、实验原理 1、微带天线简介 微带天线的概念首先是由 Deschamps于 1953 年提出来的,经过 20 年左右的发展, Munson和 Howell 于 20 世纪 70 年代初期制造出了实际的微带天线。微带天线由于具有质量轻、体积小、易于制造等优点,现今已经广泛应用于个人无线通信中。 图1 是一个简单的微带贴片天线的结构,由辐射源、介质层和参考地三部分组成。与天线性能相关的参数 包括辐射源的长度L、辐射源的 宽度 W、介质层的厚度 h、介质 的相对介电常数r和损耗正切 tan、介质层的长度LG和宽度 WG。图 1 所示的微带贴片天线是图 1:微带天线的结构 采用微带天线来馈电的,本次将要设计的矩形微带贴片天线采用的是同轴线馈 电,也就是将同轴线街头的内心线穿过参考地和介质层与辐射源相连接。 对于矩形贴片微带天线,理论分析时可以采用传输线模型来分析其性能, 形贴片微带天线的工作主模式是TM10模,意味着电场在长度L方向上有 g / 2 矩 的 改变,而在宽度 W方向上保持不变,如图 2(a)所示,在长度 L 方向上可以看做 成有两个终端开路的缝隙辐射出电磁能量,在宽度W方向的边缘处由于终端开路,所以电压值最大电流值最小。从图 2(b)可以看出,微带线边缘的电场可以分解成 垂直于参考地的分量和平行于参考地的分量两部分,两个边缘的垂直电场分量大小 相等、方向相反,平行电场分量大小相等,方向相反;因此,远区辐射电场垂直分 量相互抵消,辐射电场平行于天线表面。

HFSS天线设计实例

HFSS 天线设计实例这是一种采用同轴线馈电的圆极化微带天线 切角实现圆极化

设计目标!(具体参数可能不精确,望大家谅解)主要讲解HFSS操作步骤! GPS微带天线:介质板:厚度:2mm,介电常数:2.2,大小:100mm*100mm 工作频率:1.59GHz,圆极化(左旋还是右旋这里不讲了哈),天线辐射在上半平面覆盖! 50欧同轴线馈电, 1、计算参数 首先根据经验公式计算出天线的基本参数,便于下一步建立模型。 贴片单元长度、宽度(正方形贴片长宽相等)、馈电点位置,分离单元长度.下表是经HFSS分析后选择的一组参数: 2、建立模型 首先画出基板50mm*50mm*2mm 的基板 起名为substrate

介电常数设置为如图2.2的,可以调整color颜色和transparent透明度便于观察 按Ctrl+D可以快速的使模型全可见!按住Ctrl+Alt键,拖动鼠标可以使3D模型自由旋转 同理,我们画贴片: 1、在基板上画出边长65mm(假设用公式算出的是这么多)的正方形 2、起名为patch,颜色选绿色,透明度设为0。5 画切角是比较麻烦的 1、用画线条工具,画三线段,坐标分别是0.5.0, 5.0.0, 0.0.0 2、移动三角形,选中polyline1,选菜旦里edit\Arrange\move,先确定坐标原点或任一点为基准点,将

三角形移动到左上角和贴片边沿齐平。 3、复制三角形,选中polyline1,选菜单里edit\arrange\duplicate\around axis,相对坐标轴复制,角度换成180,然后在右下角就出现了相对称的另一个三角形。 4、从patch上切掉对角上的分离单元polyline1和polyline1_1: 选中patch、polyline1和polyline1_1,选菜单里3D modeler\Boolean\Subtract 把polyline1和polyline1_1从patch上切掉最后剩下 先在介质板底面画一个100mm*100mm的正方形作为导电地板。起名为ground 下面就是画馈源了:我们采用同轴线馈电,有两种建模方法: 1、在馈电点画一0.5mm的铜柱代表同轴线内导体,起名为feed 2、在介质板底面馈电点处画一1.5mm的圆,起名为port 3、复制port为port1,复制feed为feed1 4、复选port和feed1,执行菜单里3D Modeler\Boolean\Subtract,使port成为一个内径0.5mm外径1.5mm的圆环

(完整版)基于HFSS的微带天线设计毕业设计论文

烟台大学 毕业论文(设计) 基于HFSS的微带天线设计 Microstrip antenna design based on HFSS 申请学位:工学学士学位 院系:光电科学技术与信息学院

烟台大学毕业论文(设计)任务书院(系):光电信息科学技术学院

[摘要]天线作为无线收发系统的一部分,其性能对一个系统的整体性能有着重要影响。近年来内置天线在移动终端数量日益庞大的同时功能也日益强大,对天线的网络覆盖及小型化也有了更高的要求。由于不同的通信网络间的频段差异较大,所以怎样使天线能够覆盖多波段并且同时拥有足够小的尺寸是设计内置天线的主要问题。微带天线具有体积小,重量轻,剖面薄,易于加工等诸多优点,得到广泛的研究与应用。微带天线的带宽通常小于3%,在无线通信技术中,对天线的带宽有了更高的要求;而电路集成度提高,系统对天线的体积有了更高的要求。 随着技术的进步,在不同领域对于天线的各个要求越来越高,所以对微带天线的尺寸与性能的分析有着重要的作用。对此,本文使用HFSS 软件研究了微带天线的设计方法,论文介绍及分析了天线的基本概念和相关性能参数,重点对微带天线进行了研究。 本文介绍了微带天线的分析方法,并使用HFSS 软件的天线仿真功能,对简单的微带天线进行了仿真和分析。 [关键词] 微带天线设计分析HFSS [Abstract]Antenna as part of the wireless transceiver system, its performance important impact on the overall performance of a system. Internal antenna in recent years an increasingly large number of mobile terminals while also increasingly powerful, and also network coverage and miniaturization of the antenna Band differences between the different communication networks, cover band and also problem of the design built-in antenna. Microstrip antenna with small size, light weight, thin profile, easy to process many advantages, extensive research and application. Microstrip antenna bandwidth is typically less than 3% the bandwidth of the antenna in wireless communication technology; improve the integration of the circuit the size of the antenna. As technology advances in different areas for various requirements of the antenna important role. Article uses HFSS microstrip antenna design, the paper introduces and analyzes the basic concepts and performance parameters of the antenna, with emphasis on the microstrip antenna. This article describes the analysis of the microstrip antenna and antenna simulation in HFSS simulation and analysis functions, simple microstrip antenna. [Key Words]Microstrip antenna design analysis HFSS

HFSS矩形微带贴片天线的仿真设计报告

基于HFSS矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真实验内容:矩形微带天线仿真:工作频率 天线结构尺寸如表所示: 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File?save as,输入Antenna,点击保存。 (2).设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK (3)、设置模型单位:3D Modeler>Units 选择mm,点击OK (4)、菜单栏Tools>>Options>>Modeler Options, 勾选” Edit properties of new pri ” ,点击OK 二、建立微带天线模型 (1)点击三仓U 建GND,起始点:x:0 ,y:0 ,z: ,dx:,dy:32,dz:

(2) 介质基片:点击 :比,:x:0, y:0 , z:0。dx: , dy: 32 , dz:-, 修改名称为Sub,修改 材料属性为 Rogers RT/Duriod 5880,修改颜色为绿色 点击OK (3) 建立天线模型patch , 点击^已,x:,y: 8, z:0 ,dx: ,dy: 16 ,dz: 命名为patch ,点击OK (4) 建立天线模型微带线 MSLine 点击’硏,x:,y: 0, ,z: 0 , dx: ,dy: 8 ,dz:, 命名为MSLine,材料pec,透明度 选中 Patch 和 MSLine,点击 Modeler>Boolean>Unite (5) 、建立端口。创建供设置端口用的矩形,该矩形连接馈线与地 Modeler>Grid Plane>XZ ,或者设置回厂刁冈 习 点击 e ,创建Port 。命名为port 双击 Port 下方 CreatRectangle 输入:起始点:x: ,y: 0,z:-,尺寸:dx: ,dy: 0 ,dz: (6) 、创建 Air 。 点击1 ,x:-5 ,y:-5 ,z:, dx:, dy:42, dz: 修改名字为Air ,透明度. 三、设置边界条件和端口激励。 (1)设置理想金属边界:选择 GND 右击Assign Boundaries>>Pefect E 将理想边界命名为:PerfE_GND ,点击OK (2)、设置边界条件:选择 Port ,点击 Assign Boundaries>>Pefect E 在对话框中将其命名为 PerfE_Patch ,点击0K ,透明度。 修改名称为GND,修改材料属性为pec ,

HFSS 矩形微带贴片天线的仿真设计报告

基于H F S S矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真 实验内容:矩形微带天线仿真:工作频率7.55GHz 天线结构尺寸如表所示: 名称起点尺寸类型材料 Sub 0,0,0 28.1,32, -0.79 Box Rogers 5880 (tm) GND 0,0,-0.79 28.1,32, -0.05 Box pec Patch 7.03 , 8 , 0 12.45 , 16, 0.05 Box pec MSLine 10.13,0,-0. 79 2.49 , 8 , 0.05 Box pec Port 10.13,0,-0. 79 2.49 ,0, 0.89 Rectangle Air -5,-5,-5.79 38.1 , 42, 10.79 Box Vacumn 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File>>save as,输入Antenna,点击保存。 (2). 设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK。 (3)、设置模型单位:3D Modeler>Units选择mm ,点击OK。 (4)、菜单栏Tools>>Options>>Modeler Options,勾选”Edit properties of

new pri”, 点击OK。 二、建立微带天线模型 (1)点击创建GND,起始点:x:0,y:0,z:-0.79,dx:28.1,dy:32,dz:-0.05 修改名称为GND, 修改材料属性为 pec, (2)介质基片:点击,:x:0,y:0,z:0。dx: 28.1,dy: 32,dz: - 0.794,修改名称为Sub,修改材料属性为Rogers RT/Duriod 5880,修改颜色为绿色,透明度0.4。 点击OK (3) 建立天线模型patch, 点击,x:7.03,y: 8, z:0 ,dx: 12.45,dy: 16,dz: 0.05 命名为patch,点击OK。 (4) 建立天线模型微带线MSLine 点击,x:10.13,y: 0, ,z: 0 , dx:2.46,dy: 8,dz: 0.05, 命名为MSLine,材料pec, 透明度0.4 选中Patch和MSLine,点击Modeler>Boolean>Unite (5)、建立端口。创建供设置端口用的矩形,该矩形连接馈线与地。Modeler>Grid Plane>XZ,或者设置 点击,创建Port。命名为port 双击Port下方CreatRectangle 输入:起始点:x: 10.13,y: 0,z:- 0.84,尺寸: dx:2.46,dy: 0,dz: 0.89 (6)、创建Air。 点击,x:-5,y:-5,z:-5.79, dx:38.1, dy:42, dz:10.79

基于HFSS矩形微带贴片天线的仿真设计报告

矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真 实验内容:矩形微带天线仿真:工作频率7.55GHz 天线结构尺寸如表所示: 名称起点尺寸类型材料 Sub -14.05,-16,0 28.1,32,0.794 Box Rogers 5880 (tm)GND -14.05,-16,-0.05 28.1,32,0.05 Box pec Patch -6.225,-8,0.794 12.45 , 16, 0.05 Box pec MSLine -3.1125,-8,0.794 2.49 , -8 , 0.05 Box pec Port -3.1125,-16,-0.05 2.49 ,0, 0.894 Rectangle Air -40,-40,-20 80,80,40 Box Vacumn 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File>>save as,输入0841,点击保存。

(2). 设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK。 (3)、设置模型单位:3D Modeler>Units选择mm ,点击OK。 (4)、菜单栏Tools>>Options>>Modeler Options,勾选”Edit properties of new pri”, 点击OK。

(1)、插入模型设计 (2)、重命名 输入0841 (3)点击创建GND,起始点:x:-14.05,y:-16,z:-0.05,dx:28.1,dy:32,dz:0.05

修改名称为GND, 修改材料属性为pec, (4)介质基片:点击,:x:-14.05,y:-16,z:0。dx: 28.1,dy: 32,dz: 0.794, 修改名称为Sub,修改材料属性为Rogers RT/Duriod 5880,修改颜色为绿色,透明度0.4。 点击OK

hfss矩形微带贴片天线的仿真设计报告

基于HFSS 矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真 实验内容:矩形微带天线仿真:工作频率7.55GHz 天线结构尺寸如表所示: 名称起点尺寸类型材料 Sub 0,0,0 28.1,32,-0.79 Box Rogers 5880 (tm)GND 0,0,-0.79 28.1,32,-0.05 Box pec Patch 7.03 , 8 , 0 12.45 , 16, 0.05 Box pec MSLine 10.13,0,-0.79 2.49 , 8 , 0.05 Box pec Port 10.13,0,-0.79 2.49 ,0, 0.89 Rectangle Air -5,-5,-5.79 38.1 , 42, 10.79 Box Vacumn 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File>>save as,输入Antenna,点击保存。

(2). 设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK。 (3)、设置模型单位:3D Modeler>Units选择mm ,点击OK。 (4)、菜单栏Tools>>Options>>Modeler Options,勾选”Edit properties of new pri”, 点击OK。

二、建立微带天线模型 (1)点击创建GND,起始点:x:0,y:0,z:-0.79,dx:28.1,dy:32,dz:-0.05 修改名称为GND, 修改材料属性为pec, (2) 介质基片:点击,:x:0,y:0,z:0。dx: 28.1,dy: 32,dz: - 0.794, 修改名称为Sub,修改材料属性为Rogers RT/Duriod 5880,修改颜色为绿色,透明度0.4。

HFSS仿真实验报告样例

〈〈微波技术与天线》HFSS仿真实验报告 实验二印刷偶极子天线设计 一、仿真实验内容和目的 使用HFSS设计一个中心频率为2.45GHz的采用微带巴伦馈线的印刷偶极子天线, 并通过HFSS 软件Opitmetrics模块的参数扫描分析功能对印刷偶极子天线的一些3!要结构参数进行参数扫描分析,分析这些参数对天线性能的影响。 二、设计模型简介 整个天线分为5个部分,即介质层,偶极于天线臂,微带巴伦线,微带传输线,见 三、建模和仿真步骤 1、新建HFSSC程,添加新设计,设置求解类型:Driven Modal。 2、创建介质层。创建长方体,名称设为Substrate,材质为FR4_epoxy颜色为深绿色,透明度为 0.6。 3、创建上层金属部分 1)创建上层金属片,建立矩形面,名称Top_Patch颜色铜黄色。 2)创建偶极子位于介质层上表面的一个臂。画矩形面,名称Dip_Patch,颜色铜黄色。3)创建三角形斜切角,创建一个三角形面,把由矩形面Top_Patch和Dip_Patch组成的 90折线连接起来。 4)合并生成完整的金属片模型。 4、创建下表面金属片■I批注[y1]:实际报告撰写中,表格应手动编制,不允许直接截图。

1)创建下表面传输线Top_patch_1。 2)创建矩形面Rectangle1。 3)创建三角形polyline2。 4)镜像复制生成左侧的三角形和矩形面 此步完成后得到即得到印刷偶极子天线三维仿真模型如图2所示。 5、设置边界条件 1)分配理想导体。 2)设置辐射边界条件,材质设为air。 6、设置激励方式:在天线的输入端口创建一个矩形面最为馈电面,设置该馈电面的激励方式为集总端口激励,端口阻抗为50欧姆。 7、求解设置:求解频率(Solution Frequency)为2.45GHz自适应网格最大迭代次数(Maximum Number of Passes) : 20,收敛误差(Maximum Delta S)为0.02。 8、扫频设置:频率扫描范围2—3GHz,以0.001GHz为扫描步进,扫描类型:快速扫描 (Fast Sweep)。 9、设计检查和运行仿真计算。

基于hfss的超宽带天线的仿真设计

基于hfss的超宽带天线的仿真设计基于HFSS的超宽带天线的仿真设计 学生姓名: 学号: 学院(系): 2014年06月 基于HFSS的超宽带天线的仿真设计摘要:超宽带通信技术以其高速率、抗多径效应和低成本等一般窄带系统无法比拟的优势成为最具竞争力和发展前景的技术之一。作为系统的重要组成部分,超宽带天线的设计引起了越来越多的关注。与传统的宽带天线相比,超宽带天线的设计更具有挑战性,这是由于天线除了需要具有超宽的工作频带(3.1GHz-10.6GHz),还要能够保持尺寸的紧凑,价格的低廉,并且易于与平面大规模电路集成。同时,由于在超宽带频段中还存在着一些窄带通信系统是使用的频段,因此,这就要求尽量避免潜在的电磁干扰。本文主要基于HFSS仿真及分析超带宽天线。 关键词:HFSS 超宽带天线电磁干扰 1、超宽带天线的特点以及研究背景 无论是军事通信还是民用通信都对天线的宽频性提出了更高的要求,特别是UWB通信中,要求天线的带宽达3.1GHz-10.6GHz。在超宽带天线的应用中,要求天线具有尺寸小,便于集成等特性。因此,设计出能够与射频通信电路集成的平面微带天线就成为本文的主要研究目标。此外,在FCC规定的3.1GHz-10.6GHz频段中,还存在的IEEE 802.16 Wimax系统(3.3GHz-3.6GHz)、C波段卫星通信系统(3.7GHz-4.2GHz)、IEEE 802.11bWLAN/HIPERLAN系统(5.15GHz-5.825GHz)。因此,如何解决这些已经存在的系统与UWB 频段的电磁兼容问题,是本文研究的一

个重中之重。超宽带天线因为其频带特别宽,容易受到频带范围内其它窄带信号的干扰,如果窄带信号的所在的固定频率已知,那么可以用射频滤波技术来滤除这些干扰信号。假如一个超宽带接收机,同时兼有高功率的窄带系统,高功率的窄带信号就会对超宽带接收机的信号进行干扰。有时候希望把超宽带天线和具有高灵敏度的窄带接收机结合在一起,这样在一定环境里,超宽带系统就容易受到窄带接收机的干扰。有一些情况下,希望超宽带系统对需要的某个或几个窄带信号不灵敏,还有的情况就是想要滤除掉频带中的干扰信号。 在军事领域中,为了实现保密通信和清除干扰,多频段、多功能电台和宽带跳频电台被广泛的应用。跳频速率越来越高,跳频的范围也越来越广,原有的窄带天线己无法满足要求。另外,狭小的空间内分布多副天线,相互之间的干扰较为严重,并且影响通信质量。为了解决上述矛盾,最有效的解决办法就是研制高性能、宽频带、小型化天线,以减少载体上天线的数目。 在民用通信系统中,无线通信作为当今信息化社会的主要技术手段而显得尤为重要。信道容量不断扩充、传输速率不断提高、服务方式也日渐灵活。与此相对应的是通信设备日趋宽带化,台站设施也由最初的点对点或一点对多点发展到移动和全球漫游。天线作为移动通信系统的发射和接收部件,其宽带化的研究显然有着重要的现实意义。 2、天线的重要参数 2.1 辐射方向图 辐射方向图f (θ ,? ):以天线为中心,辐射功率密度随角坐标变化的特性。定向的单波束或者多波束用于点对点通信或者一点对多点通信;全向(在一个指定平面内有均匀辐射特性)波束用于广播电视等场合;赋形主波束用于卫星通信和电视覆盖特定区域的情况。在某一特定频率点上,天线的远区辐射场可以表示为: ,jkreE,,,,rkf,,,,,,,, (2-1) r

HFSS设计微带天线一例

这是一种采用同轴线馈电的圆极化微带天线

切角实现圆极化 设计目标!(具体参数可能不太对,望大家谅解)主要讲解HFSS操作步骤! GPS微带天线:介质板:厚度:2mm,介电常数:2.2,大小:100mm*100mm 工作频率:1.59GHz,圆极化(左旋还是右旋这里不讲了哈),天线辐射在上半平面覆盖! 50欧同轴线馈电, 1、计算参数 首先根据经验公式计算出天线的基本参数,便于下一步建立模型。 贴片单元长度、宽度(正方形贴片长宽相等)、馈电点位置,分离单元长度.下表是经HFSS分析后选择的一组参数:

2、建立模型 首先画出基板50mm*50mm*2mm 的基板 起名为substrate 介电常数设置为如图2.2的,可以调整color颜色和transparent透明度便于观察

按Ctrl+D可以快速的使模型全可见!按住Ctrl+Alt键,拖动鼠标可以使3D模型自由旋转 同理,我们画贴片: 1、在基板上画出边长65mm(假设用公式算出的是这么多)的正方形 2、起名为patch,颜色选绿色,透明度设为0。5 画切角是比较麻烦的 1、用画线条工具,画三线段,坐标分别是0.5.0, 5.0.0, 0.0.0 2、移动三角形,选中polyline1,选菜旦里edit\Arrange\move,先确定坐标原点或任一点为基准点,将三角形移动到左上角和贴片边沿齐平。

3、复制三角形,选中polyline1,选菜单里edit\arrange\duplicate\around axis,相对坐标轴复制,角度换成180,然后在右下角就出现了相对称的另一个三角形。 4、从patch上切掉对角上的分离单元polyline1和polyline1_1: 选中patch、polyline1和polyline1_1,选菜单里3D modeler\Boolean\Subtract 把polyline1和polyline1_1从patch上切掉最后剩下 先在介质板底面画一个100mm*100mm的正方形作为导电地板。起名为 ground 下面就是画馈源了:我们采用同轴线馈电,有两种建模方法: 1、在馈电点画一0.5mm的铜柱代表同轴线内导体,起名为feed 2、在介质板底面馈电点处画一1.5mm的圆,起名为port

相关文档
最新文档