Real-Time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC)

Real-Time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC)
Real-Time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC)

Real-time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC)

Stefan Aust, Harald Richter

Department of Computer Science

Clausthal University of Technology

Julius-Albert-Str. 4

38678 Clausthal-Zellerfeld, Germany

e-mail: stefan.aust|harald.richter@tu-clausthal.de

Abstract—This paper introduces a new approach for a network on chip (NOC) design which is based on a NlogN interconnect topology. The intended application area for the NOC is the real-time communication of multiprocessors that are hosted by a single Field Programmable Gate Array (FPGA). The proposed NOC is an on-chip multistage interconnection network for which an upper limit can be guaranteed that is at most needed for the latency while delivering data between sending and receiving processors. The reason for the deterministic interprocessor communication is the constant path length from input to any output port of the NOC. In contrast to contemporary NOCs, no intermediate routers exist. Thus, no overloaded router with hot spot problems can occur, and the proposed NOC can be used for real-time applications. Example NoCs of size 4x4 and 8x8 were implemented in VDHL, together with their softcore processors on Spartan3 and Virtex-4 and -5 FPGAs from Xilinx.

Keywords–network on chip; multistage interconnection network;

softcore processor; real-time multiprocessor; FPGA-

based multiprocessor

I.I NTRODUCTION

The increasing quantity of logic cells that can be integrated into a single FPGA allows novel solutions by using the system on chip (SoC) paradigm. Just recently, multiprocessor system on chip (MPSoC) applications have become feasible that are hosted by a single FPGA [1,2]. In such MPSoCs, each processor exists only as Verilog or VDHL [3] description that can be extended or modified as needed, and that is afterwards synthesized for a target FPGA such as Spartan3 or Virtex-4/-5/-6 from Xilinx, for example. Because of the adaptability of the processor architecture to the demands of the real-time system, such computing devices are called soft-core or soft processors.

MPSoCs with soft processors exhibit both, the high performance of parallel computers and the flexibility of reconfigurable hardware [4]. In real-time systems, data- and computing-intensive applications can make use of this technological progress. For instance, driver assistant systems in cars require to service more sensors and actuators than ever. Such applications demand higher computing power and less electrical power at the same time, while the system size has to be minimized. To match such demands, the proposed network on chip (NoC) design can be used in MPSoCs. In the future, we believe that MPSoCs will replace in part conventional electronic controller units in automobiles as well as in complex machinery [5].

The majority of embedded systems are located in real-time applications. Amongst others, the real-time performance of multiprocessor computers relies on the predictability of the interprocessor communication. For an MPSoC, deterministic behaviour of the interconnection network has to be guaranteed. This requirement is hardly to implement with conventional packet routing that takes place in direct, i.e. static networks. In static networks, adaptive multi-hop routing together with packet prioritization induces an undesirable indeterminism to network latency. The formation of hotspots due to excessive data traffic in router nodes excludes predictability also. We therefore propose, a new paradigm for MPSoCs, which makes use of multistage interconnection networks (MINs) as a network on chip.

This paper is organized as follows: in section 2, the state of the art in NoCs is given. Section 3 makes a recap of MINs. Their utility and their problems in on-chip usage are investigated in section 4. In section 5, the topology of the proposed NoC is presented, and in section 6 its chosen implementation is described, together with the MPSoC for which it was developed. The paper ends with conclusion and literature reference in section 7.

II.S TATE-OF-THE A RT IN N ETWORKS ON C HIP Interprocessor communication in MPSoCs with tens of cores or more is no longer feasible by using shared buses due to their low intrinsic scalability in bandwidth and latency [6,7]. Also crossbar structures are no longer practicable due to their O(N2) complexity if N becomes large. To overcome the von Neumann bottleneck and the O(N2) increase in hardware, alternative architectures have been introduced by NoCs as the new paradigm in SoC design [8]. Since then considerable number of NoC designs have been proposed which provide diverse communication types and network topologies [7,9]. NoCs with direct (static) networks have been proposed by [10,11,12,13] such as mesh, tree, torus or hypercube. Some examples of these static topologies are given in Fig. 1. The basic principle of direct network topologies is that each processor is connected directly to a smaller number of neighbour processors where each processor acts in addition as a switch or router node for

Figure 1. Toplogies of direct networks: a) mesh; b) tree; c) hypercube.

frames or packets, respectively. Routing is performed

either statically or dynamically via the source and target information contained in the frame/packet headers. The

communication channels between the processor nodes are operating on layer 3 of the ISO 7-layer model. Finally, some nodes provide additional communication channels for the necessary I/O.

A desirable property of NoCs for MPSoCs is scalability, which means that for small and large processor numbers as well, the same basic interconnect structure, can be used in principle. Another property which is mandatory for real-time systems is that the achievable bandwidth and the maximum latency for data transfer is deterministic i.e. predictable. This means that an upper limit for the latency must be guaranteed that is at most needed for data transfer, as well as a lower limit for the bandwidth. This is required to build and program systems that can react in due time.

However, all direct networks have the potential hazard of

hot spots that are overloaded router nodes. Hot spots result in unpredictable bandwidth and latency, which in turn is not tolerable for real-time systems. Furthermore, scalability is also not possible if hot spots occur.

This is why we propose an alternative to direct networks that can be used for NoCs in MPSoCs and that is based on indirect networks. In indirect networks, computing nodes are connected via a cascaded set of switches. Because of the switch arrangement, each path from source to target is of the same length, and every switch has to serve only a fixed number of traffic streams. Thus, hot spots cannot occur, if the rearrangable non-blocking subtype of indirect networks is used. Indirect networks are described in the next section. III.M ULTISTAGE I NTERCONNECTION N ETWORK (MIN) By origin, MINs were proposed for telephone exchange systems, and later for parallel computers. Vector supercomputers, multiprocessors and multicomputers with processors on individual silicon chips were introduced two decades ago for high-performance computing. MINs have been designed to match their bandwidth and latency constraints and to support effective execution of parallelized algorithms. Therefore, MINs are known from parallel computers, and we have adopted these structures to provide for deterministic on-chip interprocessor communication.

MINs connect computing nodes through a set of

elementary switches that are organized in 1, 3 or logN stages, where N is the number of the ports the network features. The mathematical patterns between the switch stages are permutation functions, such as perfect shuffle, butterfly or bit reversal [14]. The Omega network, for example, which was introduced by Lawrie [15], consists of shuffle and exchange permutations in logN stages and can be defined by

Ω

n

n

E

()n(1)

where n is the total number of stages, σis the shuffle permutation over n bits, and E is an exchange stage [16]. An example for an Omega network of size 8x8 is given in Fig. 2.

By using the smallest possible switch size of 2x2, the construction of a MIN needs (N/2)log2N switches only, which is the minimum number possible at all. For comparison, a crossbar network requires N2switches. Typical representatives for logN-MINs are Omega, Baseline and Butterfly networks [16,17,18,19]. They belong to the so-called delta subclass of MINs which means that routing through the logN-MIN is easily accomplished by using bit after bit of the target port address in order to set each switch so that it routes data either …=“ (parallel) or …x“ (cross). Because of the constant number of switches that data has to pass from the network input port to output port, a constant routing time or at least an upper limit for the routing canbe guaranteed. MINs are therefore beneficial for interprocessor communication with respect to latency, which is important for real-time applications. However, constant routing time cannot be guaranteed for transfers that take place at all input ports simultaneously. The reason is that each output can be reached from every input in principle, but there are permutations of inputs to outputs that can not be realized which is why MINs are called fully reachable but blocking. This is the main disadvantage of logN-MINs.

There are two other categories of MINs, which are called Clos and Benes networks that do not belong to the logN type and that are non-blocking [19]. Unfortunately, the Clos network has a switch complexity of (3/2)N√N, and the Benes network has Nlog2N complexity which is both not the minimum logN-MINs have. This means for the applicability of Clos and Benes MINs as on-chip networks that they consume more chip area as needed, and that they need more electrical power as logN-MINs do. Both are disadvantages for VLSI integration. Furthermore, Clos and Benes networks are non-blocking only for the price of rearranging already existing internal paths through the network, which is a problem for ongoing real-time transmissions. During path rearrangement, no data transfer can take place. Finally, path

Figure 2. Omega topology of size 8x8.

rearrangements require a central control instance that executes the rearranging algorithm. However, a central control unit prevents from scalability, and the rearranging algorithm is so complex that it consumes a processor by its own, together with considerable computing time.

IV.I DEAL ON-CHIP MIN

In general, we can state that there is no ideal on-chip interconnection network with good scalability, deterministic routing latency for real-time capability, minimum chip area and minimum power consumption at the same time. However, with the introduction of FIFO buffers, each disadvantage of the above networks could be solved, at least for many practical applications. In the case of logN-MINs for example, FIFOs at all switch stages are needed as temporary storage for incoming data to hold them until the blocking situation is managed. Blocking management has to be made every time when the MPSoC requests a forbidden permutation from input to output ports. Delaying and serializing the needed transfers via FIFO accomplish this. Data is then delayed until blocking is over, and afterwards data is read out from the FIFO one after the other. A positive aspect is that blocking management can be achieved in a fully decentralized manner.

In the case of Clos and Benes MINs, FIFOs are required only at the input ports to store incoming data until the internal path rearrangements have been accomplished. If a permutation from input to output needs rearrangement, then the input FIFOs are filled while the network is drained. When all network-internal paths are empty, rearrangement can take place by setting switches newly. After that, data is let again into the network.

In both cases, the FIFO solution is not perfect because it introduces indeterministic delays in the MPSoC interprocessor communication. Depending on the filling state of a FIFO and depending on the needed transfers per time unit, more or less data frames or packets have to be temporarily stored in the FIFOs. Only by means of a fixed FIFO depth, an upper limit for the maximum latency can be stated for data delivery. However, this is sufficent in practice for many real-time applications. FIFO overflow can occur, but it is considered as a programming fault of the MPSoC. It has to be mentioned here also that is not the fault of the network but of the programmer if two input ports want to deliver data to the same output port at the same time. This is comparable to writing the same variable in a shared memory from two processors at the same time.

To summarize, the state of the art in on-chip networks is that logN-MINs are the best option because of their O(NlogN) scalability and their minimum chip and power consumption compared to busses, crossbars, Clos and Benes networks. Therefore, we propose a logN-MIN as the preferred NoC for MPSoC. In the next section we will explain which type of logN-MIN is best suited, and what we did to improve its real-time behaviour.

V.T OPOLOGY OF THE P ROPOSED MIN O C The network topology we decided for is known as

Baseline network [20]. In Fig. 3, a Baseline network of size 16x16 is presented, together with two routing examples. This

topology has been introduced in 1980 by C. Wu and T. Feng to proof equivalence among logN-MINs. The stages in the Baseline network are connected via an unshuffle wiring. The topology of the Baseline network is mathematically isomorphic to other networks of the log2N class but the network has technological advantages compared to other logN-MINs. The production of the Baseline network is characterized by a recursive construction. Each stage is of 1,2,4,... sub-networks of the same type. From the view-point of the first stage, the Baseline consists of one switch block

Figure 3. Baseline topology of size 16x16.

of size NxN. The second stage contains two switch

blocks of size (N/2) x (N/2) and so forth. That iterates down to the smallest blocks of size 2x2 as atomic elements. In addition, each stage has the minimum possible number of crossing wires, and the wires have minimum lengths [14]. Both features, recursive construction and minimum wiring, are advantages for implementation in VLSI or FPGA that are not found in other logN-MINs. In Baseline networks, the routing algorithm evaluates the most significant bit of the n=logN bits of the target address first [16]. With every bit evaluation, the interval of possible output ports is halved. After n steps, the target output port is exactly specified. This routing algorithm is a good example of the divide-and-conquer principle known from theoretical informatics. Finally, the recursive construction of the Baseline network eases its definition in VHDL. The VHDL code of a 2x2 switch is for example:

signal A, B, C, D: std_logic_vector(0 to 31); shared variable S: boolean;

C <= A when S = false -- parallel connection else B; -- cross connection

D <= A when S = true -- parallel connection else B; -- cross connection

where A and B are inputs, C and D are outputs and S is the switch state. Not shown are FIFO buffers, but as we have learned from practice, the FPGA synthesis of the switch controller is much more complex than the switches and the FIFO. With our preferred MINoC, we yield a MPSoC of the symmetric multiprocessor type that is depicted in Fig. 4. Its architecture includes softcore processors P, local memory M P and shared memory M S.

Figure 4. Block diagram of the resulting MPSoC Architecture.

With this architecture, both programming paradigms of message passing and shared memory are supported simultaneously.

VI.I MPLEMENTATION OF THE MIN O C The MINoC is implemented by adding a custom VHDL core to the existing description of a Xilinx Microblaze soft processor [21]. The block diagram of the so enhanced MicroBlaze is shown in Fig. 5.

Our custom IP core realizes the MINoC for the MPSoC. It consists of three components: 1.) switches 2.) network interface, and 3.) network controller. The first component (switches) implements the described Baseline topology. The second component is the network interface. It connects the MicroBlaze via its proprietary FSL bus [22,23] to the MINoC input ports and output ports. The third component is the network controller, which we have introduced to improve real-time behaviour. The network controller allows for interprocessor communication only in fixed points in time. This can guarantee a better upper limit for latency in data delivery.

Figure 5. Block diagram of the soft processor enhanced by a MINoC. A.Switches and Wiring

In the following sections of this paper we refer to message-based interprocessor communication. However, with the network coupling of shared memory (M S) interprocessor communication via shared variables becomes feasible as well.

As seen before, the switches feature two states for direct and crossed connection paths, but for parallel computing mechanisms for task synchronization are needed also. These can be implemented by two additional switch states called upper and lower broadcast (Fig. 6). Direct and crossed connection paths are used in point-to-point communication

Figure 6. States of the switch: a) straight and cross b) upper and lower

broadcast.

between sender/receiver pairs via message passing. Broadcast communciation is needed for synchronous task start and stop and for distributing input data to processors. Both types of communication are required in the intended application domain of real-time embedded systems.

The wire patterns between the stages are implemented via bidirectional communication channels that are defined as signals in VHDL. With bidirectional channels, handshake protocols between sender and receiver are implemented.

https://www.360docs.net/doc/f58742530.html,work Interface

The soft processors are connected to the interconnection network via the Fast Simplex Link (FSL) from Xilinx [23]. Each FSL interface provides an uni-directional point-to-point communication channel that includes a FIFO buffer. The FIFO buffer decouples the processor clock from the network but it introduces indeterminism as described that cannot be avoided. However, the network controller reduces the jitter in message latency during data transfer. Since the FSL interface is an internal part of the soft processor, communication takes 2 processor cycles only for transferring a 32-bit word from sender to receiver if the FIFO buffers are free. Therefore, the FSL enables a high-speed interprocessor communication. When the FSL interface is added to the soft processor, the MicroBlaze instruction set is augmented with four additional instructions:

?Blocking Read (get)

?Non-blocking Read (nget)

?Blocking Write (put)

?Non-blocking Write (nput)

These instructions are for reading from and writing data to the FIFO of the FSL interfaces. As soon as data are written to FIFO, the put instruction terminates and the NoC moves all data from source to destination FIFO. Finally, a get instruction reads the data out of the receive FIFO.

https://www.360docs.net/doc/f58742530.html,work Controller

The MIN operates not by packet- or message switching but circuit switching. This provides a direct connection between sender and receiver and delivers maximum speed for interprocessor communication since no frame or packet header is required that would be overhead only. Thus all data are received in sent order.

Furthermore, a time-slot that is returning periodically is granted to each processor according to a scheduling policy where messages can be sent. The scheduling policy has been implemented in the network controller in hardware by means of VHDL. When the network controller schedules a data transfer, a communication time-slot opens, and the network controller establishes a physical path between a pair of processors. As long as the time-slot stays open, data can be sent directly from sender to receiver with full speed of the processor interfaces. After a time-slot has closed, the next path will be opened round-robin. In our tests we have used preemptive scheduling with a fixed slot time. For this purpose, a central network controller was implemented and tested. This network controller serves all connection requests from the processors. Preemption is made if a processor whose time slot has arrived does not want a data transfer through the network. The desribed scheduling policy is identical to task scheduling in real-time operating systems. The usage of a scheduling algorithm for data transfer results in better predictability to the network latency which suffers from the indeterminism of the FIFOs. Furthermore, several scheduling algorithms are possible, such as priority scheduling or earliest-deadline-first which are known from real-time operating systems.

D.Overall Architecture

The entire MPSoC including the MINoC has been implemented and tested with evaluation boards carrying FPGAs from Xilinx of the Spartan-3, Virtex-4 and Virtex-5 types. As boards have been used the ML 505 from Xilinx with a Virtex-5 FPGA, the XpressFX100 from PLDA with a Virtex-4 and the Spartan-3 starter kit board from Digilent with a Spartan-3 chip. With Spartan-3, a 4x4 network was implemented together with 4 MicroBlazes on the same

Figure 7. Overall architecture of the MPSoC.

FPGA. On the Virtex-4 and the Virtex-5 board, an MPSoC with a MINSoC of size 8x8 has been implemented. All processors are Xilinx MicroBlaze softcores that emulate a 32-bit RISC processor. Each soft processor features private local memory with Block RAM for instructions and data. Multiple Block RAMs are linked to processors via the Local Memory Bus (LMB) [21]. All MicroBlazes in turn are coupled to the interconnection network via the FSL-MIN interface as shown in Fig. 5. An overview of the system architecture as it was implemented is given in Fig. 7.

With using the FSL processor interface we can measure that it takes two clock counts of the processor to write or read a single 32-bit data to or from the network interface. Once the connection from sender to receiver has been established no additional latency of the circuit-switched network exists, so that a network clock rate of 100 MHz induces a real data transfer rate of 1.6 Gbit/s per connection. Depending on the topology of the network multiple connections between sender/receiver pairs can be established at the same time without additional latencies. Higher network clock rates are feasible because the FSL can be operated in asynchronous mode. The maximum frequency depends on the FPGA chip that is used for implementation.

Our design studies have shown, that the network topology can be implemented in FPGA hardware without problems. The challenge is the implementation of the network controller with its routing algorithm in an efficient way. But, once the network is fully implemented in FPGA hardware, the MINoC provides a deterministic high-performance network for interprocessor communication in MPSoCs.

VII.C ONCLUSION AND F UTURE W ORK This paper proposes an on-chip multistage inter-connection network with the least possible number of hardware, the minimum amount of wiring between stages and the minimum wire lengths. It can be used for high-performance interprocessor communication in real-time applications. Although logN-MINs have been already researched and used in parallel super computers, they can be adapted also for network-on-chips as well. High bandwidth and low latency are combined with a deterministic behavior of interprocessor communication in the proposed NoC. The objective is to use MPSoCs in high-performance embedded systems with hard real-time constraints that can be found in electronic control units for cars or for production machinery.

In future work we want to discuss the pros and cons of blocking and non-blocking MINs for real-time computing and their implementation in FPGA hardware. Blocking networks such as the Baseline network minimize the costs in hardware but they require a suitable scheduling strategy because not all permutations of sender/receiver pairs can be realized. Therefore further scheduling algorithms such as priority scheduling or earliest-deadline-first have to be considered for hardware implementation. In comparison with blocking networks, non-blocking networks as the Benes network can be operated without complex scheduling strategies since messages can be sent to each free receiver port at any time. On the other hand non-blocking networks exhibit extra costs in hardware plus they require complex routing algorithms due to the rearrangement of alternative connection paths.

R EFERENCES

[1] A. Jerraya and W. Wolf, “Multiprocessor Systems-on-Chip,” San

Francisco, CA: Morgan Kaufmann, 2005.

[2]M. Grant, “Overview of the MPSoC design challenge,” in

Proceedings of the 43rd annual Design Automation Conference, San Francisco, CA, July 2006, pp. 274-279.

[3]U. Heinkel, M. Padeffke, W. Haas, and T. Buerner, “The VHDL

Reference,” Cichester, England: John Wiley & Sons, 2000.

[4] C. Bobda, T. Haller, and F. Muehlbauer, “Design of Adaptive

Multiprocessor on Chip Systems,” in SBCCI 2007, Rio de Janeiro,

Sept. 2007, pp. 177-183.

[5]S. Aust and H. Richter, “Space Division of Processing Power For

Feed Forward and Feed Back Control in Complex Production and

Packaging Machinery,” in WAC 2010, Kobe, Japan, Sept. 2010 [6]P. Guerrier and A. Greiner, “A generic architecture for on-chip packet

switched interconnections,” in DATE2000, March 2000, pp. 250-256.

[7]H. G. Lee, N. Chang, U. Y. Ogras, and R. Marculescu, “On-chip

communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches,” in ACM

Transactions on Design Automation of Electronic Systems, Vol. 12,

No. 3, Article 23, August 2007.

[8]L. Benini and G. De Micheli, “Networks on chips: a new SoC

paradigm,” in IEEE Computer magazine, vol. 35, no. 1, Jan. 2002, pp.

70-78.

[9] D. Atienza, F. Angiolini, S. Murali, A. Pullini, L. Benini, and G. De

Micheli, “Network-on-Chip design and synthesis outlook,” in

Integration, the VLSI Journal, 41(2), Feb. 2008.

[10]S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J.

?berg, K. Tiensyrj?, and A. Hemani, “A Network on Chip

Architecture and Design Methodology,” in Proceedings of the IEEE

Computer Society Annual Symposium on VLSI (ISVLSI), 2002, pp.

105–112.

[11]T. Bjerregaard and S. Mahadevan, “A Survey of Research and

Practices of Network-on-Chip,” in Integrated Circuits and Systems

Design (SBCCI) 2003, pp. 169-174.

[12] C. A. Zeferino and A. A. Susin, “SoCIN: A Parametric and Scalable

Network-on-Chip,” in ACM Computing Surveys (CSUR), vol. 38,

issue 1, 2006.

[13]L. Benini and G. De Micheli, “Networks on Chips: Technology and

Tools,” San Francisco, CA: Morgan Kaufmann, 2006.

[14]H. Richter, US-Patent 5,175,539 ”Interconnection Network”.

[15] D. H. Lawrie, “Access and Alignment of Data in an Array

Processor,” in IEEE Transactions on Computers, vol. C-24, no. 12,

December 1975, pp. 1145-1155.

[16]H. Richter, “Verbindungsnetzwerke für parallele und verteilte

Systeme,” in German, Heidelberg: Spektrum, 1997.

[17]J. L. Hennessy and D. A. Patterson, “Computer Architecture. A

Quantitve Approach,” 4th edition, San Francisco, CA: Morgan

Kaufmann, 2007.

[18]J. Duato, S. Yalamanchili, and L. Ni, “Interconnection Networks. An

Engineering Approach,” San Francisco, CA: Morgan Kaufmann,

2003.

[19]W. Dally and B. Towles, “Principles and Practices of Interconnection

Networks,” San Francisco, CA: Morgan Kaufmann Publishers Inc.,

2003.

[20] C.-L. Wu and T.-Y. Feng, “On a Class of Multistage Interconnection

Networks,” in IEEE Transactions on Computers, Vol. C-29, No. 8,

August 1980, pp. 694-702.

[21]Xilinx Inc., “MicroBlaze Processor Reference Guide,” Product

Specification: UG081, v9.0, Jan. 2008

[22]Xilinx Inc., “Fast Simplex Link (FSL) Bus (v2.11b),” Product

Specification: DS449, June 2009

[23]H. P. Rosinger, “Connecting Customized IP to the MicroBlaze Soft

Processor Using the Fast Simplex Link (FSL) Channel,” Xilinx

Application Note: XAPP529, v1.3, May 2004

RealTimeRTPCR常见问题分析

Real-Time RT-PCR常见问题分析 1.某一孔荧光信号特别强 问题:同一批样品,其中某一个荧光信号特别强? 原因:①试剂配制时反应液没完全溶化,导致探针量在一管中增多;②试剂配制时没有充分混匀致各管中各成分的量不同;③也可能是PCR仪热槽被荧光物质污染,这 时就要清除热槽中的污染; 2. 扩增曲线有一向上或向下的尖峰 问题:扩增曲线有一向上或向下的尖峰? 原因:①反应过程中电压不稳定;②可能在20循环左右仪器有停下或者仪器有开盖,使得光线突然增强;③如果尖峰向下,也可能是卤素灯老化所致,这时应更换;

3. 部分样本扩增效率过低 问题:部分样本扩增效率过低? 原因:①提取液残留,一定程度抑制了PCR反应;②反应液未严格取量混匀或分装不均匀;③试剂失效; 4.阴性对照或空白对照翘尾,可能原因:1、模板提取环境有污染。2、模板提取操作有 污染。3、配液过程存在污染。 问题:阴性对照或空白对照翘尾? 原因:①模板提取环境有污染;②模板提取操作有污染;③试剂配制过程存在污染;

5. 直线型扩增曲线 问题:直线型扩增曲线? 原因:①探针部分降解(探针降解原因:a.探针反复冻融――稀释的探针可在4℃保存至少3个月,应避免反复冻融;b.探针在光线下暴露时间太长);②反应液中有PCR抑 制物; 6.没有扩增曲线 问题:没有扩增曲线? 原因:①PCR参数设置错误,在设计循环参数时将荧光信号读取时间设在反应的第一步,即stage 1阶段;②电脑设定了自动休眠;

7.基线下滑 问题:扩增曲线有一个下滑阶段? 原因:基线选取范围不对,可试着将基线范围改大一些,这一问题常因试剂质量所致;8.扩增曲线断裂 问题:扩增曲线断裂? 原因:基线选取范围不对,基线终点大于Ct值,这通常是由于模板DNA浓度过高所致,因Ct值<15,而基线范围仍取3-15,其中包含部分扩增信号,导致标准差偏大, 阈值过高,解决办法:减少基线终点至Ct值前4个循环,重新分析数据 9.样品浓度跨度过大 样品浓度过高,至阳性样品扩增曲线在后面循环中呈一向下的直线,原因及解决办法同“扩增曲线断裂”。

引物设计原则(含Realtime引物)

1.引物最好在模板cDNA的保守区内设计。 DNA序列的保守区是通过物种间相似序列的比较确定的。在NCBI上搜索不同物种的同一基因,通过序列分析软件(比如DNAman)比对(Alignment),各基因相同的序列就是该基因的保守区。 2.引物长度一般在15~30碱基之间。 引物长度(primer length)常用的是18-27 bp,但不应大于38,因为过长会导致其延伸温度大于74℃,不适于Taq DNA 聚合酶进行反应。 3.引物GC含量在40%~60%之间,Tm值最好接近72℃。 GC含量(composition)过高或过低都不利于引发反应。上下游引物的GC含量不能相差太大。另外,上下游引物的Tm值(melting temperature)是寡核苷酸的解链温度,即在一定盐浓度条件下,50%寡核苷酸双链解链的温度。有效启动温度,一般高于Tm值5~10℃。若按公式Tm= 4(G+C)+2(A+T)估计引物的Tm值,则有效引物的Tm为55~80℃,其Tm 值最好接近72℃以使复性条件最佳。 4.引物3′端要避开密码子的第3位。 如扩增编码区域,引物3′端不要终止于密码子的第3位,因密码子的第3位易发生简并,会影响扩增的特异性与效率。 5.引物3′端不能选择A,最好选择T。 引物3′端错配时,不同碱基引发效率存在着很大的差异,当末位的碱基为A时,即使在错配的情况下,也能有引发链的合成,而当末位链为T时,错配的引发效率大大降低,G、C 错配的引发效率介于A、T之间,所以3′端最好选择T。 6. 碱基要随机分布。 引物序列在模板内应当没有相似性较高,尤其是3’端相似性较高的序列,否则容易导致错误引发(False priming)。降低引物与模板相似性的一种方法是,引物中四种碱基的分布最好是随机的,不要有聚嘌呤或聚嘧啶的存在。尤其3′端不应超过3个连续的G或C,因这样会使引物在GC富集序列区错误引发。 7. 引物自身及引物之间不应存在互补序列。 引物自身不应存在互补序列,否则引物自身会折叠成发夹结构(Hairpin)使引物本身复性。这种二级结构会因空间位阻而影响引物与模板的复性结合。引物自身不能有连续4个碱基的互补。 两引物之间也不应具有互补性,尤其应避免3′ 端的互补重叠以防止引物二聚体(Dimer与Cross dimer)的形成。引物之间不能有连续4个碱基的互补。 引物二聚体及发夹结构如果不可避免的话,应尽量使其△G值不要过高(应小于4.5kcal/mol)。否则易导致产生引物二聚体带,并且降低引物有效浓度而使PCR 反应不能正常进行。 8. 引物5′ 端和中间△G值应该相对较高,而3′ 端△G值较低。 △G值是指DNA 双链形成所需的自由能,它反映了双链结构内部碱基对的相对稳定性,△G 值越大,则双链越稳定。应当选用5′ 端和中间△G值相对较高,而3′ 端△G值较低(绝对值不超过9)的引物。引物3′ 端的△G 值过高,容易在错配位点形成双链结构并引发DNA 聚合反应。(不同位置的△G值可以用Oligo 6软件进行分析) 9.引物的5′端可以修饰,而3′端不可修饰。 引物的5′ 端决定着PCR产物的长度,它对扩增特异性影响不大。因此,可以被修饰而不影响扩增的特异性。引物5′ 端修饰包括:加酶切位点;标记生物素、荧光、地高辛、Eu3+等;引入蛋白质结合DNA序列;引入点突变、插入突变、缺失突变序列;引入启动子序列等。引物的延伸是从3′ 端开始的,不能进行任何修饰。3′ 端也不能有形成任何二级结构可能。 10. 扩增产物的单链不能形成二级结构。

Real-Time PCR详细介绍

荧光定量PCR实验指南 来源:易生物实验浏览次数:901网友评论0 条 荧光定量PCR实验指南 关键词:荧光实验指南 第一部分 一、基本步骤: 1、目的基因(DNA和mRNA)的查找和比对; 2、引物、探针的设计; 3、引物探针的合成; 4、反应体系的配制; 5、反应条件的设定; 6、反应体系和条件的优化; 7、荧光曲线和数据分析; 8、标准品的制备; 二、技术关键: 1、目的基因(DNA和mRNA)的查找和比对; 从https://www.360docs.net/doc/f58742530.html,/网点的genbank中下载所需要的序列。下载的方式有两种:一为打开某个序列后,直接点击“save”,保存格式为“.txt”文件。保存的名称中要包括序列的物种、序列的亚型、

序列的注册号。然后,再打开DNAstar软件中的Editseq软件,点击“file”菜单中的“import”,打开后点击“save”,保存为“.seq”文件。另一种直接用DNAstar软件中的Editseq软件,点击“file”菜单中的“openentrezsequence”,导入后保存为“.seq”文件,保存的名称中要包括序列的物种、序列的亚型、序列的注册号。然后要对所有的序列进行排序。用DNAstar软件中的Seqman软件,点击“sequence”菜单中的“add”,选择要比较的“.seq”的所有文件,点击“add”或“adda ll”,然后点击“Done”导入要比较的序列,再点击“assemble”进行比较。横线的上列为一致性序列,所有红色的碱基是不同的序列,一致的序列用黑色碱基表示。有时要设定比较序列的开始与结尾。有时因为参数设置的原因,可能分为几组(contig),若想全部放在一组中进行比较,就调整“project”菜单下的“parameter”,在“assembling”内的“minimum math percentage”默认设置为80,可调低即可。再选择几个组,点击“contig”菜单下的“reassemble contig”即可。选择高低的原则是在保证所分析的序列在一个“contig”内的前提下,尽量提高“minimum math percentage”的值。有时因此个别序列原因,会出现重复序列,碱基的缺失或插入,要对“contig”的序列的排列进行修改,确保排列是每个序列的真实且排列同源性最好的排列。然后,点击“save”保存即可。分析时,主要是观察是否全部为一致性的黑色或红色,对于弥散性的红色是不可用的。 2、引物和探针设计 2.1引物设计

realtimePCR和RT-PCR详解及其区别要点

real-time PCR技术的原理及应用 摘要:一、实时荧光定量PCR原理(一)定义:在PCR反应体系中 加入荧光基团,利用荧光信号累积实时监测整个PCR进程,最后通过标准曲线对未知模板进行定量分析的方法。(二)实时原理 1、常规PCR技术:对PCR扩增反应的终点产物进行定量和定性分析无法对起始模板准 一、实时荧光定量PCR原理 (一)定义:在PCR反应体系中加入荧光基团,利用荧光信号累积实时监测整个PCR进程,最后通过标准曲线对未知模板进行定量分析的方法。 (二)实时原理 1、常规PCR技术: 对PCR扩增反应的终点产物进行定量和定性分析无法对起始模板准确定量,无法对扩增反应实时检测。 2、实时定量PCR技术: 利用荧光信号的变化实时检测PCR扩增反应中每一个循环扩增产物量的变化,通过Ct值和标准曲线的分析对起始模板进行定量分析 3、如何对起始模板定量?

通过Ct值和标准曲线对起始模板进行定量分析. 4、几个概念: (1)扩增曲线: (2)荧光阈值: (3)Ct值:

CT值的重现性: 5、定量原理: 理想的PCR反应: X=X0*2n 非理想的PCR反应: X=X0 (1+Ex)n

n:扩增反应的循环次数 X:第n次循环后的产物量 X0:初始模板量 Ex:扩增效率 5、标准曲线 6、绝对定量 1)确定未知样品的 C(t)值 2)通过标准曲线由未知样品的C(t)值推算出其初始量

7、DNA的荧光标记: 二、实时荧光定量PCR的几种方法介绍 方法一:SYBR Green法 (一)工作原理 1、SYBR Green 能结合到双链DNA的小沟部位

realtime pcr 阈值设定

Data Analysis on the ABI P RISM? 7700 Sequence Detection System: Setting Baselines and Thresholds Overview In order for accuracy and precision to be optimal, the assay must be properly evaluated and a few adjustments need to be made. There are three important parameters to be assessed: ?Baseline ?Threshold ?Ct value Data Analysis Tutorial To accurately reflect the quantity of a particular target within a reaction, i.e.the amount of PCR product, it is critical that the point of measurement be accurately determined. Real-time analysis on the ABI P RISM? 7700 Sequence Detection System involves three principle determinants for more accurate, reproducible data. Baseline Value During PCR, changing reaction conditions and environment can influence fluorescence. In general, the level of fluorescence in any one well corresponds to the amount of target present. Fluorescence levels may fluctuate due to changes in the reaction medium creating a background signal. The background signal is most evident during the initial cycles of PCR prior to significant accumulation of the target amplicon. During these early PCR cycles, the background signal in all wells is used to determine the “baseline fluorescence” across the entire reaction plate. The goal of data analysis is to determine when target amplification is sufficiently above the background signal, facilitating more accurate measurement of fluorescence. Threshold The threshold is the numerical value assigned for each run, which reflects a statistically significant point above the calculated baseline. Ct Value The Threshold Cycle (Ct) reflects the cycle number at which the fluorescence generated within a reaction crosses the threshold. The Ct value assigned to a particular well thus reflects the point during the reaction at which a sufficient number of amplicons have accumulated, in that well, to be at a statistically significant point above the baseline.

realtime 数据处理

内参基因:18s for control, 18s for treatment sample 目的基因:control sample, treatment sample 复孔取平均值 △Ct for control sample = Ct of comtrol sample - Ct 18s for control △Ct for treatment sample = Ct of treatment sample - Ct 18s for treatment sample △△Ct = △Ct for treatment sample - △Ct for control sample 2^(-△△Ct) 2^(-△△Ct) =1 means miRNA expression no change 2^(-△△Ct) <1 means expression decrease after treatment 2^(-△△Ct) >1 means expression increase after treatment 我以前是这么做的。不合理的地方,可以拍砖。 第一步,将数据(Ct mean)拷贝到excel。 第二步,计算△Ct。在excel内,计算B2-E2, B3-E3,。。。

第三步,取对照组的△Ct的算术平均数。excel里面是:average (f5,f6,f7) ,得到对照组的△Ct的算术平均数4.242524433 第四步,将F栏内的各个△Ct减去对照组的△Ct,这就是△△Ct。 第五步,将I栏里面的各数,取相反数。也就是(-△△Ct) 第六步,算出2(-△△Ct),excel里面的方程是power(2,N),N指的是(-△△Ct)所在位置。

罗氏realtimepcr操作指南

一.配制好反应体系,封好膜。接通LC480与电脑电源,电脑帐号operator,密码LC480,点击LC480软件,登录帐号user,密码Master1。 二.开始实验:点击,在列表中选择对应的程序,如H1N1或HBV,点击窗口右下角的,点击软件界面右下角的,输入实验文件名点击窗口右下角的开 始实验。 三.编辑子集:点击subset editor,点击左下角的,按ctrl键的同时鼠标选择本次实验的孔,最后点击应用。注意,一次实验可以同时运行相同扩增参数的多个实验 (如H1N1、HIV与HCV),那么可以分别设置多个子集 设置样品:先在subset中选择本次实验的子集,点击左上角的,样品输入样品名,再选择标准品类型和浓度或者阳性 对照/阴性对照 四.数据分析: 实验运行完成后进入,选择Abs Quant/Fit Ppoint, 在窗口中的Subset(第二行)中选择本次实验的子集,点 击软件界面中上方的,在Noise Band中调节 noise band高度,使之处于对数增长期并高于所有噪音信 号,如右图。 再点击进入,点击软件界面中间偏右的 自动设置阈值或手工调节阈值(鼠标左键按住拖动或在 输入阈值大小),再点击软件界面左下角的,软 件左下方出现每个样品的Ct值或浓度值等以及平均值标准误等信 息,该部分可以鼠标拖动滑块或鼠标拖曳、 鼠标点击软件右上角的为本次分析命名,如输入H1N1或其它名称 五.报告输出打印:点击软件右侧的保存当前实验的分析结果,再点击软件左侧的, 选择需要输出的分析结果,如H1N1, 点击软件中部左侧的detailed 分析中建议选择results或standard curve,点击软件界 面左下方的,生成PDF报告,如装了打印机,直接 点击软件中间上方的,或者点击,选择pdf文 件保存位置后点击save保存

罗氏realtime PCR操作指南(Roche LightCycler480)

LightCycler ? 480 系统快速操作指南 1 一.配制好反应体系,封好膜。接通LC480与电脑电源,电脑帐号operator ,密码LC480,点击LC480软件 ,登录帐号user ,密码Master1。 二.开始实验:点击 ,在列表中选择对应的程序,如H1N1或HBV ,点击窗口右下角的,点击软件界面右下角的,输入实验文件名点击窗口右下角的开始实验。 三.编辑子集:点击 subset editor ,点击左下角的,按ctrl 键的同时鼠标选择本次实验的孔,最后点击应用。注意,一次实验可以同时运行相同扩增参数的多个实验(如H1N1、HIV 与HCV ),那么可以分别设置多个子集 设置样品:先在subset 中选择本次实验的子集,点击左上角的,样品输入样品名,再选择标准品类型和浓度或者阳性对照/阴性对照 四.数据分析: 实验运行完成后进入,选择Abs Quant/Fit Ppoint ,在窗 口中的Subset (第二行)中选择本次实验的子集,点击软 件界面中上方的,在Noise Band 中调节 noise band 高度,使之处于对数增长期并高于所有噪音信号,如 右图。 再点击进入,点击软件界面中间偏右的 再点击软件界面左下角的 值或浓度值等以及平均值标准误等信 息,该部分可以鼠标拖动滑块或鼠标拖曳、 鼠标点击软件右上角的为本次分析命名,如输入H1N1或其它名称 五.报告输出打印:点击软件右侧的保存当前实验的分析结果,再点击软件左侧的,点击软件中部左侧的detailed 选择需要输出的分析结果,如H1N1, 分析中建议选择results 或standard curve ,点击软件界面左 下方的,生成PDF 报告,如装了打印机,直接 点击软件中间上方的 ,或者点击,选择pdf 文件保存位置后点击save 保存

实时UML与RationalRoseRealTime建模案例剖析

2007-4-4 16:09:00 当前章节: · · · · · · 优异性能开发团队2008魁北克会议演讲 了解80后一代的职场观 真公司、真职位、实名的高级技术人员如果你希望与真诚的人真诚的交流,欢迎加入! 11.1 自动取款机系统概述 自动取款机是由计算机控制的持卡人自我服务型的金融专用设备。本节主要简单介绍自动取款机系统及其开发流程。 11.1.1 自动取款机简介 是英文的缩写,即自动取款机 的意识,图11-1是一个自动取款 机的示意图。是最普遍的自助银 行设备,可以提供最基本的银行 服务之一,即出钞交易,有些全 功能的产品还可以提供信封存款 业务。在自动取款机上也可以进 行账户查询和改密的业务。作为 自助式金融服务终端,除了提供 金融业务功能之外,自动取款机 还具有维护、测试、事件报告、 监控和管理等多种功能。 11.1.1 自动取款机简介

是英文的缩写,即自动取款机 的意识,图11-1是一个自动取款 机的示意图。是最普遍的自助银 行设备,可以提供最基本的银行 服务之一,即出钞交易,有些全 功能的产品还可以提供信封存款 业务。在自动取款机上也可以进 行账户查询和改密的业务。作为 自助式金融服务终端,除了提供 金融业务功能之外,自动取款机 还具有维护、测试、事件报告、 监控和管理等多种功能。 11.1.2 自动取款机的开 发流程 自动取款机系统的开发流程遵循实时系统的统一开发过程,主要生命周期包括系统分析、概要设计、详细设计和实现与测试,采用的软件开发方法为迭代式。开发流程详细说明如下。 l 系统分析。主要标识系统涉及的用例,以及为每个用例创建的事件流。而且,还需要定义初始功能测试用例,作为检查最后实现是否正确和完备的工具。系统分析还需要显示用例隐含的类,利用分析类图进行文档化处理。 l 概要设计。使用卡,定义每个类的职责。首先通过全局类图定义设计的静态结构,然后定义设计的动态结构,使用状态图定义主要控制类的动态行为,为主要用例创建交互图。 l 详细设计。包括为每个类定义属性和操作,利用包图对相关类进行组织。 l 代码生成。利用代码生成工具将设计转换为代码,并对代码进行详细处理,编写辅助代码,完成系统实现,并能够对设计进行模拟,能够作为运行,能够在浏览器中查看可执行版本。 需要注意的是,本实例采用实时作为最后的实现语言,创建了一个自动取款机的模拟程序。模拟真实机的行为,还需要的支持。 11.1.3 自动取款机系统初始需求描述 下面是一个自动取款机系统初始需求描述示例,供读者参考。 “自动取款机系统初始需求规格说明书” 1.引言 1.1 目的 本文档描述了自动取款机系统的软件需求,支持的设计人员、开发人员和维护人员。 1.2 范围 的功能要求支持计算机银行网。 1.3 概述 文档剩余部分组织如下:本节后续部分将给出一些重要术语的定义;第2部分将包含对的一些基本描述;第3部分表示特定的功能需求、外部接口和性能需求。

Real-time Rendering

Interactive Watercolor Animations Thomas Luft Oliver Deussen Department of Computer and Information Science University of Konstanz,Germany {luft,deussen}@inf.uni-konstanz.de Abstract We present algorithms that produce a natural water-color appearance of3D-scenes and render them in real-time.Our approach imitates the most important natural ef-fects of watercolor and incorporates two essential painting techniques:the wet-in-wet and the wet-on-dry painting.We apply our technique to different scenes,and show how to segment and abstract the content. Keywords:Non-Photorealistic Rendering,Watercolor, Real-time Rendering 1Introduction Watercolor paintings are characterized by diverse pat-terns and speci?c textures,which occur during the painting and drying process.Thus,in the?eld of non-photorealistic computer graphics,the production of watercolor paintings is one of the most intricate and complex processes. In contrast to already known simulation algorithms,our goal is to create convincing watercolor renderings of3D-scenes in real-time.We introduce an ef?cient approach that allows the imitation of the most essential drawing tech-niques and natural effects,and that give the appearance of natural watercolor paintings.Since the process of drawing is always an abstraction of the motif,special consideration must be given here to the overall scene complexity and its simpli?cation(see Fig.1). In order to create real-time graphics,we especially rely on the potentials of hardware accelerated shaders. 1.1Related Work There are only a few works that explicitely treat the gen-eration of watercolor paintings.A physically based ap-proach is the work of Curtis et al.[1],which is directly re-lated to the cellular automaton approach of Small[4]. Curtis Figure1:Watercolored landscape scene. et al.offer a detailed description of the most important ef-fects that occur during the watercolor painting and drying process.A similar work is described by Van Laerhoven et al.[5].Their approach allows an interactive simulation of watercolor painting that consists of a number of user given brush strokes.The work of Lum and Ma[3]was inspired by watercolor paintings.It describes a raytracing based render-ing pipeline that creates procedural textures based on LIC (line integral convolution),which produce a watercolor like appearance.Their work allows the rendering of3D-scenes, however,it does not allow the real-time rendering.Lei and Chang[2]propose a rendering pipeline that imitates several important watercolor effects and allows a real-time render-ing of small scenes.Their work is similar to our approach, but uses different techniques. 2Imitating Watercolor Paintings Curtis et al.[1]describe several effects that are essential for a watercolor appearance,such as the edge darkening,

RealTimeClock_17

第17章实时时钟 概述 当系统电源关闭时,实时时钟(Real Time Clock :RTC)单元可以在后备电池的支撑下工作。RTC 能传送一个8位的数给CPU作为BCD码(Binary Coded Decimal)的值用于STRB/LDRB的ARM 操作中。提供的数包括秒、分、时、星期、日、月和年。RTC单元与外部32.768kHz的晶振一起工作,并且能提供报警功能。 特性 BCD数字:秒、分、时、星期、日、月和年 闰年发生器 报警功能:报警中断或从休眠模式中唤醒 解除了2000年(千年虫)的问题 独立的电源引脚(RTCVDD) 为实时操作系统(RTOS)内核的计时嘀嗒,提供毫秒嘀嗒计时中断 循环复位功能(Round Reset Function)

实时时钟操作 图17-1 实时时钟框图 闰年发生器 闰年发生器能判定每个月的最后一天是28、29、30或31,判定基于来自BCDDA TE、BCDMON 和BCDYEAR的数据。在判定一个月的最后的日期时,RTC模块会考虑闰年的情况。一个8位的计数器只能代表2个BCD数字,因此RTC模块不能判定“00”年(一个最后两位是0的年)是否是一个闰年。例如,它不能区分1900和2000年。为解决这个问题,在S3C2410A中的RTC模块有一个硬连线的逻辑来支持2000年为一个闰年。注意,当2000年是闰年时,1900年不是一个闰年。所以,S3C2410A中的“00”两个数字被解释为2000,而不是1900。

读∕写寄存器 为了写RTC模块中的BCD寄存器,RTCCON寄存器的位0必须设置为1。为了显示秒、分、时、星期、日、月和年,CPU应读取RTC模块中的BCDSEC、BCDMIN、BCDHOUR、BCDDAY、BCDDA TE、BCDMON和BCDYEAR相应寄存器中的值。由于多个寄存器被读出,一个一秒的误差可能存在。例如,当用户从BCDYEAR读到BCDMIN寄存器时,结果假定为2059(年)、12(月)、31(日)、23(时)和59(分)。当用户读BCDSEC寄存器并且读出的值的范围为1到59秒时,没有任何问题,但如果秒数为0秒时,因为遇到1秒误差的情况,年、月、日、时和分就应变成2006(年)、1(月)、1(日)、0(时)和0(分)。这种情况下(秒数为0),用户应重新读取年份到分钟的值。 后备电池操作 RTC逻辑能由后备电池驱动,即使系统电源关闭了,后备电池也能通过RTCVDD引脚为RTC 模块提供电源。当系统关闭时,CPU与RTC逻辑块间的接口应该被阻断,随后,后备电池只驱动振荡电路和BCD计数器,从而达到最小的功耗。 报警功能 在休眠模式或常规模式的一个指定时间,RTC产生一个报警信号。在常规模式,报警中断信号(ALMINT)被激活。在休眠模式,电源唤醒信号(PMWKUP)和报警中断信号同时被激活。RTC 报警寄存器(RTCALM)决定报警使能∕禁止的状态,并决定报警时间条件的设置。 嘀嗒计时中断(Tick Time Interrupt) RTC的嘀嗒计时可用于中断请求。TICNT寄存器有一个中断使能位和一个用于中断的计数值。当嘀嗒计时中断发生时,计数值计到“0”。中断周期按下式计算: Period = ( n + 1) / 128 second 其中,n 为嘀嗒计时计数值(Tick Time count value)(1~ 127)。 RTC的计时嘀嗒可用作RTOS的内核计时嘀嗒。如果操作系统的计时嘀嗒由RTC的计时嘀嗒产生,RTOS中与时间相关的功能将总是保持实时同步。 循环复位功能(Round Reset Function) 循环复位功能由RTC的循环复位寄存器(RTCRST)完成。秒进位发生器的循环边界(30、40或50秒)可以被选择,在循环复位时,秒的值可被循环到0。例如,当当前时间为23:37:47并且循环边界选为40秒,循环复位将使当前时间改变为23:38:00。 注意:所有RTC寄存器必须以字节为单位,用STRB和LDRB指令或字符指针来访问。

实时荧光定量PCR原理与分析方法Real-time,fluorescence

匠心品质,快乐科研 Good Science ,G ood Products 实时荧光定量PCR原理与分析方法Real-time, fluorescence-based quantitative PCR 孟文举 上海翊圣生物科技有限公司产品主管 2018.09

通过这场讲座,您可以了解:问题1:深入理解qPCR原理 问题2:正确的结果解读 问题3:提升qPCR实验结果可信度的几点建议问题4: qPCR的解题思路

内容概要 荧光定量PCR 概述 实验安排常见问题123难点解答 4

内容概要 荧光定量PCR 概述 实验安排常见问题123难点解答 4

SNP鉴定病毒检测拷贝数检测表达量检测测序数据验证微生物诊断 分子诊断基础研究动物疫病食品安全 Main advantage: the starting DNA concentration ?in a closed-tube system qPCR 能够解决什么问题

DNA intercalating molecules fluorescent reporter molecules ?uorophore-labeled oligonucleotides ?binds to the minor groove of dsDNA ?non-speci?c ?extension phase of each cycle of qPCR ?hydrolysis probe ?speci?c PCR products ?extension phase qPCR 的检测基础:chemistry

相关主题
相关文档
最新文档